Lee, Byoung Hun

Lee, Byoung HunProfessor

Education
  • 1998 ~ 2000 University of Texas at Austin (Electrical and computer engineering)
  • 1989 ~ 1992 한국과학기술원 (물리)
  • 1986 ~ 1989 한국과학기술원 (물리)
Career
  • 2008 ~ 2020 Professor, 광주과학기술원 신소재공학과
  • 2007 ~ 2008 Program manager, SEMATECH
  • 2001 ~ 2007 IBM, Semiconductor R&D center
  • 1991 ~ 2000 삼성전자 반도체 연구소
Profession
  • - Extreme low power devices and architectures
  • - Nano devices/ optical sensors and heterogeneous integration
  • - Gate stack technology
  • - Scaled device analysis and reliability
Journal Papers
  • – H.H. Kim, W. Kim, U. Pak, T.J. Yoo, H.W. Lee, B.H.Lee, S. Kwon, G.Y.Jung, “Bias-modulated multicolor discrimination enabled by an organic-inorganic hybrid perovskite photodetector with a p-i-n-i-p configuration”, Laser & Photonics Reviews (2020).
    – S.C.Kang, S.K.Lee, S.M.Kim, H.J.Hwang, and B.H. Lee*, “Quantitative defect density extraction method for Metal–Insulator–Metal Capacitor,” In press, Semiconductor Science and Technology (2020).
    – J.W. Um. S.Y. Kim, B.H. Lee, J.B. Park, S.H.Jeong, “Direct writing of graphite thin film by laser-assisted chemical vapor deposition,” Carbon 169, pp.163-171 (2020).
    – J.M.Yu, J.Y. Park, T.J. Yoo, J.K. Han, B.H. Lee, D.H. Yun, G.B. Lee, S.U. Kim, B.H.Lee, Y.K. Choi, “Quantitative Analysis of High-Pressure Deuterium Annealing Effects on Vertically-Stacked Gate-All-Around SONOS Memory,” IEEE Transactions on Electron Devices 67(9), pp.3903-3907(2020).
    – H.I.Lee, J.S.Park,Y.J.Kim, S.W.Heo, J.W.Hwang, S.M.Kim, K.Kim, Y.S.Lee, J.W.Jung, H.B.Kim, K.J.Cho, M.M.Sung, B.H.Lee*, “Dynamic band alignment modulation of ultrathin WOx/ZnO stack for high on-off ratio field-effect switching applications,” on-line published, Nanoscale (2020).
    – J. Jeon, Y. Yang, H. Park, J.H. Park, B.H. Lee, S. Lee, “MXenes for future nanophotonic device applications”, Nanophotonics, 9(7), pp.1831-1853 (2020).
    – J.E. Kim, T.H. Kim, S.Y. Oh, J.H. Nam, H.Y. Jang, Y.H. Kim, N. Yamada, H. Kobayashi, S.Y. Kim, B.H.Lee, H. Habazaki, W.J. Park, B.J. Cho, “Al2O3 induced sub-gap doping on IGZO channel for the detection of infrared light,” In press, ACS Applied Electronic Materials (2020)
    – S.Y.Kim, J.A. Ryou, M.J. Kim, K.Y.Kim, Y.S.Lee, S.M.Kim. H.J.Hwang, Y.H.Kim, B.H.Lee*, “Performance degradation in graphene-ZnO barristors due to graphene edge contact,” ACS Applied Materials and Interfaces 12(25), pp.28768-28774 (2020).
    – S.C.Kang, S.Y.Kim, S.K.Lee, K.Y.Kim, B. Allouche, H.J.Hwang, and B.H. Lee*, “Channel defect profiling and passivation for ZnO thin film transistors,” Nanomaterials 10(6), pp.1-8 (2020).
    – H.J. Lee, H.Shin, G. Anoop, T.J. Yoo, S. So, J. Ryu, B.H. Lee, J.Y. Song, E. Lee, S. Hong, J.H. Lee, J.Y. Jo, “Tunable in-plane thermal conductivity of a sinle PEDOT:PSS nanotubes,” Nanoscale 12(16), p.8701 (2020)
    – S.B. Mitta, F. Ali, Z. Yang,, I.Y.Moon, F. Ahmed T.J. Yoo, B.H. Lee, W.J. Yoo, “Gate-Modulated Ultrasensitive Visible and Near-Infrared Photodetection of Oxygen-Plasma Treated WSe2 Lateral pn–Homojunctions,” ACS Applied Materials and Interface 12(20), p.23261(2020)
    – B. Allouche, H.J. Hwang, T.J. Yoo and B.H. Lee*, “Negative electrocaloric effect in antiferroelectric zirconium dioxide thin film,” Nanoscale 12(6), pp. 3894-3901(2020).
    – M.Son, S.S.Chee, S.Y.Kim, W.Lee, Y.H.Kim, B.Y.Oh, J.Y.Hwang, B.H.Lee, M.H.Ham, “High-quality nitrogen-doped graphene films synthesized from pyridine via two-step chemical vapor deposition “, Carbon 159, p.579(2020).
    – B.H.Lee, “CMOS technology on another level,” Nature Electronics 2, p.272-273 (2019). (News and views)
    – S.C.Kang, D.H.Kim,S.J. Kang, S.K.Lee, C.H.Choi, D.S.Lee and B.H. Lee*, “Hot-Carrier Degradation Estimation of a Silicon-on-Insulator Tunneling FET Using Ambipolar Characteristics,”IEEE Electron Device Letters 40(11), 171 6(2019).
    – S.Y. Kim, J.W. Hwang, Y.J. Kim, H.J. Hwang, M.W. Son, N. Revannanth, M.H.Ham, K.J. Cho, B.H. Lee*, “ Threshold Voltage Modulation of Graphene-ZnO Barristor Using a Polymer Doping Process,” Advanced Electronic Materials, 5(7), 1800805, (2019).
    – S.C. Kang, S.M. Kim, U.J. Jung, Y.H. Kim, W.J. Park, B.H. Lee*, “Interface state degradation during AC Positive Bias Temperature Instability Stress,” Solid State Electronics 158, pp.46-50(2019).
    – K.E.Chang, C.H.Kim, T.J.Yoo, M.K.Kwon, S.Heo, S.Y.Kim, Y.Hyun, J.I.Yoo, H.C.Ko, B.H. Lee*, “ High-Responsivity Near-Infrared Photodetector Using Gate-modulated Graphene/Germanium Schottky Junction,” Advanced Electronic Materials, 5(6), 1800957 (2019).
    – S.K. Lee, Y.J. Kim, S. Heo, W. Park, T.J. Yoo, C. Cho, H.J. Hwang, and B.H. Lee*, ” Advantages of a buried-gate structure for graphene field-effect transistor ,” Semiconductor Science and Technology 34, p.055010 (2019).
    – S.W.Heo, M.G. Gwon, C.H. Kim, S.M. Kim, Y.S. Lee, K.E. Chang and B.H. Lee*, “Tunable AC/DC converter using graphene-germanium barristor based half-wave rectifie,” AIP Advances 9(9), 095009, (2019).
    – H.J. Hwang, S.Y. Kim, S.C. Kang, B. Allouche, B.H. Lee *, “ Piezoelectrically modulated touch pressure sensor using a graphene barristor,” Jpn. J. Appl. Phys.58(SB), SBBH03(2019).
    – S.Y.Kim, M.B. Kim, H.J.Hwang, B. Allouche, B.H.Lee *, “ Chemically doped graphene based ternary field effect transistors,” Jpn. J. Appl. Phys. 58(SB), SBBH04 (2019).
    – L. Lee, J.W. Hwang, J.W. Jung, J.C. Kim, H.I. Lee, S.W.Heo, M.H. Yoon, S.Ju. Choi, N.V.Long, J.S. Park, J.W. Jeong, J. Kim, K.R. Kim, D.H.Kim, S.I. Im, B.H.Lee, K.J.Cho, M.M. Sung, “ZnO Composite nanolayer with mobility edge quantization for multi-value logic transistors,” Nature communications 10(1), p.1998 (2019).
    – J. Jeon, H. Choi, S.Choi, J.H.Park, B.H.Lee, E. Hwang, S.J.Lee, “Transition-Metal-Carbide (Mo2C) Multiperiod Gratings for Realization of High-Sensitivity and Broad-Spectrum Photodetection,” Advanced Functional Materials 29, 1905384,(2019).
    – M.Son, H.Kim, J.Jang, S.Y.Kim, H.C.Ki, B.H.Lee, I.Kim, M.H.Ham, “Low-power complementary logic circuit using polymer electrolyte-gated graphene switching devices”, ACS Applied Materials & Interfaces 11, 47247-47252, (2019).
    – H.J.An, H. Hong, Y.R.Jo, J.M.Lee, H.J.Yoon, S.Y. Kim, J.S. Song, S.Y. Jeong, B.H.Lee, B.J.Kim, S.G.Jung, T.S.Park, S.M.Kim, C.W.Bark, S.W.Kim, T.Y. Koo, K.T.Ko,, B.J.Kim, S.H.Lee, “Reversible magnetoelectric switching in multiferroic three-dimensional nanocup heterostructure films,” NPG Asian Materials, 11(68), 1-10, (2019).
    – S. Choi, Y.J. Kim, J. Jeon, B.H. Lee, J.H. Cho, S. Lee, “Scalable Two-Dimensional Lateral Metal/Semiconductor Junction Fabricated with Selective Synthetic Integration of Transition-Metal-Carbide (Mo2C)/-Dichalcogenide (MoS2),” on-line published, ACS Applied Materials and Interfaces, (2019).
    – Y.Yang, J.H.Jeon, J.H.Park, M.S. Jeong, B.H.Lee, E.H.Hwang, S.J.Lee, “Plasmonic Transition Metal Carbide Electrodes for High-Performance InSe Photodetectors,” ACS Nano (2019).
    – J.S.Song, K.S.Choi, S.J.Yoon, W.B.Sohn, S.P.Hong, T.H.Lee, H.J.An, S.Y.Cho, S.Y.Kim, D.H.Kim, T.M.Kim, S.Y.Jeong, C.W.Bark, B.H.Lee, S.D.Bu, H.W.Jang, C.H.Jeon, S.H.Lee, “Enhancement of Ferroelectric Properties of Superlattice-Based Epitaxial BiFeO3 Thin Films via Substitutional Doping Effect,” J. of Physical Chemistry C, 123(18), pp.11564-11571 (2019).
    – N.S.Lim, Y.S.Park, J.K. Kim, T.J.Yoo, H.H. Kim, Y. Kumaresan, W.C.Kim, S.J. Cho, S.C.Kwon, B.H.Lee, T.H.Lee, G.Y.Jung, “Enhanced Photo-response of MoS₂Photodetectors by a Laterally Aligned SiO₂Nanoribbon Array Substrate,” ChemNanoMat, 5(10), 1272-1279, (2019).
    – J.Y.Jia, J.J. Jeon, J.H.Park, B.H.Lee, E.H. Hwang, S.J.Lee, “Avalanche Carrier Multiplication in Multilayer Black Phosphorus and Avalanche Photodetector ,” Small 15, 1805352 (2019).
    – Y.J. Yoo, Y.J. Kim, S.Y. Kim, J.H. Lee, J.H.Ko, J.W.Lee, K.J. Kim, B.H.Lee, Y.M. Song, “Mechanically Robust Antireflective Moth-eye Structures with a tailored coating of dielectric materials,” Optical Materials Express, 9(11), 4178-4186, (2019).
    – H.J. Hwang, Y.S. Lee, C. Cho, B.H. Lee*, “Facile process to remove PMMA residue after graphene transfer,” AIP Advances 8(10), p.105326(2018).
    – S.K .Lim, S.C. Kang, T.J. Yoo, S.K. Lee, B.H. Lee*, “ Operation mechanism of MoS2/BP hetero junction FET,” Nanomaterials 8(10), p.797(2018).
    – S.W.Heo, H.I.Lee, H.J. Lee, S.M. Kim, K.Y. Kim, Y.J. Kim, S.Y.Kim, J.H.Kim, M.H. Yoon, B.H.Lee*, “Very low-temperature integrated complementary graphene barristor based inverter for thin film transistor applications,” Annalen der Physik ,530(10), p.1800224 (2018).
    – S.W. Heo, S.M. Kim, K.Y. Kim, H.J. Lee, S.Y. Kim, Y.J. Kim, S.M. Kim, H.I. Lee, S.G. Lee, K.R. Kim, S.H. Kang, B.H. Lee*, “Ternary full adder using multi-threshold graphene barristor,” IEEE Electron Device Letters 39(12), p.1948 (2018).
    – K.E. Chang, T.J. Yoo, C.H. Kim, Y.J. Kim, S.K. Lee, S.Y. Kim, S.W.Heo, M.G. Kwon, B.H. Lee, “Gate controlled graphene-silicon Schottky junction photodetector,” Small 14(28), p. 1801182(2018).
    – W.J.Park, S.F.Shaikh, J.W.Min, S.K.Lee, B.H.Lee, M.M. Hussain, “ Contact Resistance Reduction of ZnO Thin Film Transistors (TFTs) with Saw-Shaped Electrode,” Nanotechnology 29, p.325202 (2018).
    – T.J.Yoo, Y.J.Kim, S.K.Lee, C.G.Kang, K.E.Chang, H.J.Hwang, N. Revannath, B.H.Lee*, “Zero-bias operation of CVD graphene photodetector with asymmetric metal contacts,” ACS Photonics 5(2), 365-370(2018).
    – H.J.Hwang, S. Heo, W.B.Yoo, B.H.Lee*, “Electrical performance of graphene-ZnO:N barristor on a flexible polyethylene naphthalate (PEN) film,” AIP Advances 8, pp.015022 (2018).
    – S.Y.Kim, Y.J.Kim, U.Jung, B.H.Lee*, “Chemically induced Fermi Level Pinning Effects of High-k Dielectrics on Graphene,” Scientific Reports 8(1), pp.2992 (2018).
    – Y.J. Kim, S.M. KIm, S.W. Heo, H.J. Lee, H.I. Lee, K.E. Chang, B.H. Lee*, “High-pressure and low-temperature oxidation of Al2O3 for performance enhancement of graphene field-effect-transistors ,” Nanotechnology 29,055202 (2018).
    – S.C. Kang, D.H. Lim, S.K. Lim, J.W. Noh, S.M. Kim, S.K. Lee, C.H. Choi, and B.H. Lee*, “Unique reliability characteristics of fully depleted silicon-on-insulator tunneling FET,” Jpn. J. Appl. Phys., 57(4), 05FB02 (2018).
    – S. Lai, S.J. Byeon, S.K. Jang, J.H. Lee, B.H. Lee, J.H. Park, Y.H. Kim, S.J. Lee, “HfO2/HfS2 hybrid heterostructure fabricated via controllable chemical conversion of two-dimensional HfS2,” Nanoscale 10, p.18758-18766 (2018).
    – F. Ahmed, S.W. Heo, Y.Z. Zheng, F. Ali, C.H. Ra, H.I. Lee, T. Taniguchi, J. Hone, B.H. Lee, W.J. Yoo, “Dielectric dispersion and high field response of multilayer hexagonal boron nitride,”Advanced Functional Materials 28(40), 1804235(2018).
    – J.H. Jeon, Y.R. Park, S.H. Choi, J.H. Lee, S.S. Lim, B.H.Lee, Y.J. Song, J.H. Cho, Y.H. Jang, S.J.Lee, “Epitaxial synthesis of molybdenum carbide and formation of a Mo2C/MoS2 hybrid structure via chemical conversion of molybdenum disulfide,” ACS Nano, 12, pp.338-346 (2018).
    – S. Seo, H. Choi, S.Y. Kim, J. Lee, K. Kim, S. Yoon, B.H. Lee, S. Lee , “Growth of centimeter-scale monolayer and Few-layer WSe2 thin films on SiO2/Si substrates via pulsed laser deposition,” Advanced Materials Interfaces 5(20), p.1800524(2018).
    – J.S. Song, M.J. Seo, T.H. Lee, Y.R. Jo, J.M. Lee, T.M. Kim, S.-Y. Kim, S.M. Kim, S.Y. Jeong, H.J. An, S.K. Kim, B.H. Lee, D.H. Lee, H.W. Jang, B.J. Kim, S.H. Lee, “ Tailoring crystallographic orientations to substantially enhance charge separation efficiency in anisotropic BiVO4 photoanodes,” ACS Catalysis, 8(7), p. 5952 (2018).
    – J.Jia, S.Jeon, J.Jeon, J.Xu, Y.J.Song, J.H.Cho, B.H.Lee, J.D.Song, H.J.Kim, E.Hwang, S.J.Lee, “Generalized scheme for high performing photodetectors with a p-type 2D channel layer,” Small, 14(9), 1703065 (2018).
    – J.I. Yoo, H.S. Jang, J.W.Jang, J.W.Yoon, Y.K. Oh, J.J. Park, S.M. Kang, T.J. Yoo, S.H. Kim, B.H. Lee, S.H. Choa and H.C.Ko, “Reliable peripheral anchor-assisted transfer printing of ultrathin SiO2 for transparent and flexible IGZO-based inverter,” Microelectronic Engineering, 197, pp.15-22 (2018).
    – K.H. Bang, S.S. Chee, K.M. Kim, N.W. Son, H.B. Jang, B.H. Lee, K.H. Paik, J.M. Myoung, M.H. Ham, “Effect of ribbon width on electrical transport properties of graphene nanoribbons,” Nano Convergence 5, p.7 (2018).
    – N.S. Lim, T.J. Yoo, J.T. Kim, Y.S. Park, K. Yogeenth, H.H. Kim, W.C. Kim, B.H. Lee, G.Y. Jung, “Tunable Graphene Doping by Controlling Nanopores Geometry ona SiO2/Si Substrate,”RSC Advances 8(17), 9031-907 (2018).
    – D.H.Kim, S.K.Lim, B.Y.Bae, C.K.Kim, S.W.Lee, M.S.Seo, S.Y.Kim, K.M.Hwang, G.B.Lee, B.H. Lee. Y.G.Choi, “Quantitative Analysis of Deuterium Annealing Effect on Poly-Si TFTs by Low Frequency Noise and DC I-V Characterization,” IEEE Trans. on Electron Device 65(4), p. 1640 (2018).
    – M.W.Son, H.B.Jang, M.S.Lee, T.H.Yoon, B.H.Lee, W.Lee, M.H.Lee, “Flexible Transparent Nanogenerators Utilizing Shape-modulated ZnO Nanorod Arrays on Graphene Electrodes,”Advanced Materials Technologies 3, 1700355 (2018).
    – C.Cho, S.K Lee, TJ.Yoo, S.W.Heo, H.J.Hwang, C.G.Kang, M.H.Ham, B.H.Lee*, “Pulsed KrF laser assisted direct deposition of graphitic capping layer for Cu interconnect,” Carbon 123, pp. 307-310(2017).
    – Y.J.Kim, W.J.Park, J.H.Yang, Y.H. Kim, B.H.Lee*, “Contact resistance reduction of WS2 MOSFETs with Ti contact contact using high-pressure hydrogen annealing,” IEEE J. Elect. Dev. Soc. 6(1), p. 164-168 (2017).
    – J. Noh, S.M. Kim, S. Heo, S.C. Kang, Y.G. Lee, H.K. Park, S.K. Lee, B.H. Lee*, “Time Domain Reflectometry Analysis of the Dispersion of Metal-Insulator-Metal Capacitance,” IEEE Electron Device Letters 38, 4, p.521-524 (2017).
    – H.J. Hwang, K.E. Chang, W.B.Yoo, C.H.Shim, S.K.Lee, J.H. Yang, S.Y. Kim, Y.S. Lee, C. Cho, B.H. Lee*, “Graphene barristor using nitrogen profile controlled ZnO Schottky contacts,” Nanoscale 9, p.2442 (2017).
    – M.W.Son, Y.Park, S.S.Chee, F.M.Auxilia, K.H.Kim, B.K.Lee, S.G.Lee, S.K.Kang, C.D.Lee, J.S.Lee, K.K.Kim, Y.H.Jang, B.H.Lee, G.Y.Jung, M.H.Ham, “Charge transfer in graphene/polymer interfaces for CO2 detection,” Nano Research 11(7), pp.3529-3536(2017).
    – Y.H.Kim, A.R.Kim, G. Zhao, S.Y.Choi, S.C.Kang, S.K.Lim, K.E.Lee, J.C.Park, B.H.Lee, M.G.Hahm, D.H.Kim, J.J.Yun, K.H.Lee, B.J.Cho , “Wafer-Scale Integration of Highly Uniform and Scalable MoS2 Transistors,” ACS Applied Materials and Interfaces 9(42), pp. 37146-37153(2017).
    – J.S.Song, M.G.Lee, H.W.Heong, S.H.Seo, J.A.Yoo, T.L.Kim, J.M.Lee, H.S.No, D.H.Kim, S.Y.Heong, H.J.An, B.H.Lee, C.W.Bark, H.W.Park, H.W.Jang, S.H.Lee, “Template-engineered epitaxial BiVO4 photoanodes for efficient solar water splitting,” J. Mat.Chem.A 5(35), pp. 18831-18838 (2017).
    – S.S.Chee, C.H.Oh, M.W.Son, G.C.Son, H.B.Jang, T.J.Yoo, S.M.Lee, W.K.Lee, J.Y.Hwang, H.Y.Choi, B.H.Lee, M.H.Ham, “Sulfur vacancy-induced reversible doping of transition metal disulfides via hydrazine treatment,” Nanoscale 9 (27) , pp.9333(2017).
    – Y.J. Kim, S.Y. Kim, J. Noh, C.H. Shim, U.J. Jung, S.K. Lee, K.E. Chang, C. Cho, B.H. Lee*, “Demonstration of Complimentary Ternary Graphene Field Effect Transistors ,”On-line published , Scientific Reports (2016).
    – A.K. Khan, B.,H.Lee*, ” Monolayer MoS2 metal insulator transition based memcapacitor modeling with extension to a ternary device,” AIP Advances 6, p.096022 (2016).
    – Y.G.Lee, S.K.Lim, C.G.Kang, Y.J.Kim, D.H.Choi, H.J.Chung, R.Choi, B.H.Lee*, “Origin of the channel width dependent field effect mobility of graphene field effect transistors ,” Microelectronic Engineering, v.163, p. 55-59 (2016).
    – Y.H.Kim, S.C.Kang, S.K.Lee, U.J.Jung, S.M. Kim, B.H.Lee*, “ Hot carrier instability of nMOSFETs under Pseudo Random Bit Sequence stress ,” IEEE Electron Device Letters 37(4), pp.366 (2016).
    – S.K.Lee, Y.J.Kim and B.H.Lee*, “Study on future electronic device using graphene”, Vacuum Magazine (2016).
    – J.H.Yang,T.H. Ryu, Y. Lansac, Y.H. Jang, B.H.Lee*, “Shear stress induced enhancement of Piezoelectric properties of PVDF-TrFE thin film,” Organic Electronics, 28, p.67-72 (2016).
    – J.Jia, J.Xu, J.H.Park, B.H.Lee, E.H.Hwang, S.J.Lee, “Multifunctional homogeneous lateral black phosphorus junction devices,” Chemistry of Materials, 29(7), p.3413-3151 (2017).
    – Y. Kumaresan, Y.S. Pak, N.S. Lim, Y.H. Kim, M.J. Park, S.M. Yoon, H.M. Youn, H. Lee, B.H. Lee, G.Y. Jung, “Highly Bendable In-Ga-ZnO Thin Film Transistors by Using a Thermally Stable Organic Dielectric Layer,” on-line published, Scientific Reports, 2016.
    – F. Naoki, T.F. Zhang, B.H.Lee, K.H.Kim “A robust method for extracting the mechanical properties of thin films with rough surfaces by nanoindentation,” J. of Materials Research v.31 (23), p.3777 (2016).
    – Y.H. Kim, A.R. Kim, J.H. Yang, K.E. Chang, J. Kwon, S. Y. Choi, K. H. Lee, B.H. Lee, M.G. Hahm, and B. Cho, “Alloyed 2D Metal-Semiconductor Heterojunctions: Origin of Interface States Reduction and Schottky Barrier Lowering”, Nano Letters . 16(9), p.5928 (2016).
    – B.J.Co, A.R.Kim, D.J.Kim, H.S.Chung,S.Y.Choi, J.D. Kwon, S.W.Park, Y.H.Kim, B.H.Lee, K.H.Lee, D.H.Kim, J.W.Nam, M.G.Hahm, “Two-Dimensional Atomic-Layered Alloy Junctions for High-Performance Wearable Chemical Sensor,” ACS Applied Materials and Interfaces 8, p.19635-19632 (2016).
    – Y.H.Kim, W.J.Park, J.H. Yang, C Cho, S.K.Lee, B.H.Lee*, “Reduction of Low Frequency Noise at Multilayer MoS2 FETs using a Fermi Level De-pinning Layer,” on-line published, Physica Status Solidi-RRL (2016).
    – J.W. Yoon, Y.K. Jeong, H.J. Kim, S.G. Yoo, Y.H. Kim , Y.K. Hwang, Y.J. Hyun, W.K. Hong, B.H.Lee, S.H. Choa, H.C. Ko, “Robust stretchable indium gallium Zinc oxide-based electronic textiles formed by cilia-assisted transfer printing,” Nature communications 7, p.11477 (2016).
    – H.T.Pham, J.H.Yang, D.S. Lee, B.H.Lee, H.D.Jeong, “ Ferroelectric/Dielectric Double Gate Insulator Spin-Coated by Using Barium Titanate Nanocrystals for Indium Oxide Nanocrystal–Based Thin-Film Transistor,” on-line published, ACS Applied Materials and Interfaces (2016).
    – A.R.Kim, Y.H.Kim, J.W.Nam, H.S. Chung, D.J.Kim, J.D.Kwon, S.W.Park, J.C.Park, S.Y.Choi, B.H.Lee, J.H.Park,K.H.Lee, D.H.Kim, S.M.Choi,A. Pulickel, M.G.Hahm, B.J.Cho, “ Alloyed 2D metal-semiconductor atomic layer junctions ,” Nano Letters 16(3). pp.1890 (2016).
    – W.J.Park, Y.H.Kim, U.J. Jung, J.H.Yang, C. Cho, Y.J.Kim, S.M.N Hasan, H.G.Kim, H.B.R.Lee, B.H.Lee*, “Unipolar WS2 Field Effect Transistors using Fermi level de-pinning contact metals,” Advanced Electronic Materials, p.1500279 (2015).
    – U.J. Jung, J.J. Kim, Y.H. Kim, Y.G. Lee, S.C Song, J. Blatchford, B. Kirkpatrick, H.Niimi, B.H.Lee*, “Dipole-Induced Gate Leakage Reduction in Scaled MOSFETs with a Highly Doped Polysilicon/Nitrided Oxide Gate Stack,” Microelectronic Engineering, 142, p.1 (2015).
    – C.Cho, S.K.Lee, J.Noh, W.Park S.C.Lee, Y.G.Lee, H.J.Hwang, M.Ham, B.H.Lee*, “Contact resistance improvement by the modulation of area to peripheral length ratio of graphene contact pattern,” Applied Physics Letters, 106(21), p.213107 (2015).
    – S.C.Lee, S.K.Lee, C.G.Kang, C.Cho, Y.G.Lee, U.J.Jung, and B.H.Lee*, “Graphene transfer in vacuum yielding a high quality interface,” Carbon, 93, p.286-294 (2015).
    – J.H.Yang,H.J. Hwang, S.C. Kang, and B.H. Lee*, “Sensitivity improvement of graphene/Al2O3/PVDF-TrFE stacked touch device through Al seed assisted dielectric scaling,” Microelectronics Engineering, 147, p.79-84 (2015).
    – Y.J.Kim, Y.G.Lee, U.Jung, S.Lee, S.K.Lee, and B.H.Lee*, “A Facile Process to Achieve Hysteresis-free and Fully Stabilized Graphene Field-effect Transistors”, vol.7, 4013-4019, Nanoscale, (2015). Also selected as 2015 Hot Papers in Nanoscale
    – U.Jung, Y.J.Kim, Y.H.Kim, Y.G.Lee, and B.H.Lee* “Extraction of the Interface State Density of Top Gate Graphene Field Effect Transistors”, on-line published, IEEE Electron Device Letters, 36(4), p.408 (2015)
    – Y.H. Kim, Y.G. Lee, U. Jung, J.J. Kim, M.H. Choe, K.T. Lee, S.W. Pae, J.W. Park, B.H.Lee*, “Extraction of Effective Mobility from nMOSFETs with Leaky Gate Dielectric using Time Domain Reflectometry,” IEEE Trans. Elect. Dev., 62(4), P.1092 (2015).
    – J.S.Jang, M.W.Son, S.K.Chung, K.H.Kim, C.Cho, B.H.Lee, M.H.Ham, “Low-temperature-grown continuous graphene films from liquid benzene by chemical vapor deposition at ambient pressure,” Scientific Report, 5, p.17955 (2015).
    – B.J. Cho, J.W. Yoon, S.K. Lim, A.R. Kim, S.Y.Choi, D.H. Kim, K.H. Lee, B.H. Lee, H.C. Ko, M.G. Hahm, “Metal decoration effect on gas-sending properties of 2D hybrid structure on flexible substrate,” Sensors, 15, 24093 -24913 (2015). (Invited)
    – B.J.Cho, J.W.Yoon, S.K.Lim, A.R.Kim, D.H.Kim, S.G.Park, J.D.Kwon, Y.J.Lee. K.H.Lee, B.H.Lee, H.C.Ko, M.G.Hahm, “Chemical sensing of 2D graphene/MoS2 heterostructure device,” ACS Applied Materials and Interfaces 7, p.16775 (2015).
    – S. H. Lee, W. Park, B. H. Lee and W. B. Kim, “Patterned Catalyst Arrays of Pd/SnO2 Core-Shell Nanowires for Electrooxidation of Biomass-Derived Alcohols”, J. Mater. Chem. A 3, 13492-13499 (2015).
    – S.Park, M.Chu, J.I.Kim, J.Noh, M.Jeon, B.H.Lee, B.Lee, H.Hwang and B.G.Lee, “Electronic system with memristive synapses for pattern recognition,” Scientific Reports, 1, 10123 (2015).
    – C.K.Park, H.J.Kim, K.Y.Ko, K.K.Kim, B.H.Lee, J.H.Ahn, “The variation of the enhanced PL efficiency of Y2O3:Eu3+ phosphor films with the height to the ZrO2 nanoparticle-assisted 2D PCL by reverse nano-imprint lithography,” Microelectronic Engineering, v.136, p.48-50, 2015.
    – M.Chu, B.H.Kim, S.S.Park, H.Hwang, M,Jeon, B.H.Lee, B.G.Lee, “Neuromorphic Hardware System for Visual Pattern Recognition with Memristor Array and CMOS Neuron “, IEEE Industrial Electronics, 62(4), P.2410 (2015).
    – B.J. Cho, M.G. Hahm, M.S. Choi, J.W. Yoon, A.R. Kim, Y.J. Lee, S.G. Park, J.D. Kwon, C.S. Kim, M.K. Song, Y.S. Jeong, K.S. Nam, S.C. Lee, T.J. Yoo, C.G. Kang, B.H. Lee, H.C. Ko, P. Ajayan, D.H. Kim, “ Charge-transfer-based Gas Sensing Using Atomic-layer MoS2”, Scientific Reports, 5, 8052 (2015).
    – B.J.Cho, Cho, A.R. Kim, Y.J. Park, J.W. Yoon, Y.J. Lee, S.C. Lee, T.J. Yoo, C.G. Kang, B.H. Lee, H.C. Ko, D.H. Kim, M.G. Hahm, “Bifuntional Sensing Characteristics of chemical vapor deposition synthesized Atomic-Layered MoS2,” on-line published, ACS Applied Materials and Interface, (2015)
    – Y.H.Kim, S.H.Baek, C.H.Jeon, Y.G.Lee, J.J.Kim, U.J.Jung, S.C.Kang, W.Park, S.H.Lee, B.H.Lee*, ” Leakage current limit of time domain reflectometry in ultra-thin dielectric characterization”, Jpn. J. of Appl. Phys. 53, 08LC02, (2014). (Special Issue)
    – U.Jung, Y.G.Lee, C.G.Kang, S.C.Lee, J.J.Kim, H.J.Hwang, S.K.Lim, M.H.Ham, B.H.Lee*, “Quantiatively estimating defects in graphene devices using discharge current analysis method,” Scientific Reports 4, 4886 (2014).
    – U. Jung, Y.G. Lee, C.G. Kang, S.C Lee, B.H. Lee*, “Quantitative analysis of interfacial reactions at a graphene/SiO2 interface using the discharge current analysis method,” Appl. Phys. Lett. 104, 151604 (2014).
    – S.S.Park, M.Siddik, J.Noh, D.S.Lee, J.Y.Woo, K.Moon, B.H.Lee, H.Hwang*, “Nitrogen-treated Memristive Device for Tunable Electronic Synapse”, Semiconductor Science and Technology, 29, p.104006 (2014).
    – C.G. Kang, S.K.Lee, T.J. Yoo, W. Park, U. Jung, J. Ahn, B.H. Lee*, “Highly Sensitive Wide Bandwidth Photodetectors using Chemical Vapor Deposited Graphene,” Appl. Phys. Lett. 104, 161902 (2014).
    – S.C.Lee, O.D. Iyore, S.E. Park, Y.G. Lee, S. Jandgyala, C.G. Kang, Y.H. Kim, M. Q.Lopez, B.E. Gnade, R.M. Wallace, B.H.Lee*, J.Kim, “Rigid substrate process to achieve high mobility in graphene field-effect transistors on a flexible substrate,” Carbon 68, p.791-797 (2014).
    – K.Yu, J.M.Lee,J.Kim, G.Kim, H.Kang, B.Park, H.Y.Kahng, S. Kwon, S.C.Lee, B.H.Lee, J. Kim, H.I.Park, S.O. Kim, K.Lee, “Semiconducting Polymers with Nanocrystallites Interconnected via Boron-Doped Carbon Nanotubes”, Nano Letters, 14(12), p.7100-7106, (2014).
    – Y.S.Park, S.M.Kim, H.S.Jeong, C.G.Kang, J.S.Park, H.Song, R.Lee, N.S.Myoung, B.H.Lee, S.Seo, J.T.Kim, G.Y.Jung, “Palladium-decorated Hydrogen Gas Sensors Using Periodically Aligned Graphene Nanoribbons”, ACS Applied Materials and Interfaces, 6(15), p.13293 (2014).
    – M.H.Kwon, S .K.Kwon, W.I.Choi, C.Y.Kang, B.H.Lee, P.Kirsch, H.D.Lee*, “A Correlation Between Oxygen Vacancies and Reliability Characterisitcs in a Single Zirconium Oxide Metal-Insulator-Metal Capacitor”, IEEE Trans. Elect. Dev., 61(8), p.2619 (2014).
    – J.W. Kang, Y.S. Choi, B.H. Kim, C.G.Kang, B.H. Lee, C. W. Tu and S.J. Park,” ZnO-Based Ultraviolet Light-Emitting Diode with a Graphene Transparent Hole Injection Layer,” Appl. Phys. Lett., 104, 051120 (2014).
    – S.G. Park, S.C. Lee, S. Jandhyala, M.W. Ha, J.S. Lee, L. Colombo, R. Wallace, B.H. Lee, J. Kim, “Triangular-Pulse Measurement for Hysteresis of High-Performance and Flexible Graphene Field-Effect Transistors,” IEEE Elect. Dev. Lett. March (2014).
    – J.J. Kim,M.W.Kim, U.J. Jung, K.E.Chang, S.K.Lee, Y.H.Kim, Y.G. Lee, R.Choi, B.H.Lee*, ” Intrinsic time zero dielectric breakdown characteristics of HfAlO with varying alloy ratios,” IEEE Trans. Electron Device, 60(11), p.3683(2013).
    – W. Park, J.H. Yang, C.G. Kang, Y.G. Lee, H.J. Hwang, C. Cho, S.K. Lim, S.C. Kang, W.-K. Hong, and B.H. Lee*, “Characteristics of pressure sensitive touch sensor using piezoelectric PVDF-TrFE/MoS2 stack,” Nanotechnology, 24, 475501 (2013).
    – C.G.Kang, S.K.Lee, S.H.Choe, Y.G.Lee, C.L.Lee, B.H.Lee*, “Intrinsic photocurrent characteristics of graphene photodetector passivated with Al2O3,” Optics Express, 21(20), p.23391 (2013).
    – C.Cho, Y.G.Lee, U.Chung, C.G.Kang, S.K.Lim, H.J.Hwang, H.J.Choi, B.H.Lee*, “Correlation between the hysteresis and the initial defect density of graphene,” Appl. Phys. Lett., 103, p.083110, Aug. (2013).
    – J.W.Noh, M.Jo, C.Y.Kang, D. Gilmer, P.Kirsch, R.Jammy, J.C.Lee, B.H.Lee*, “Development of a Semiempirical Compact Model for DC/AC Cell Operation of HfOx-Based ReRAMs,” IEEE Elect. Dev. Lett., 34(9), p.1133 (2013).
    – S.S.Park, J.Noh, M.Choo, A.M.Sheri, M.Chang, Y.B.Kim, C.J.Kim, M.Jeon, B.G.Lee, B.H.Lee, H.Hwang, “Nanoscale RRAM-based synaptic electronics: toward a neuromorphic computing device,” Nanotechnology 24, 384009 (2013). (Invited).
    – M. Son, J.G. Son,K.-J. Moon, B.H. Lee, J.-M. Myoung, M. S. Strano, M.-H. Ham*, and C.A. Ross, “Sub-10-nm Graphene Nanoribbon Array Field-Effect Transistors Fabricated by Block Copolymer Lithography,” Advanced Materials 25, pp.4723-4728 (2013).
    – Y.G.Lee, C.G.Kang, C.Cho, Y.H.Kim, H.J.Hwang, B.H.Lee*, “Quantitative analysis of hysteretic reactions at the interface of graphene and SiO2 using short pulse I-V method,” Carbon, v.60, p.453-460,April (2013).
    – H.J. Hwang, J.H. Yang, S.C.Kang, C. Cho, C.G. Kang, Y.G. Lee, B.H.Lee*, “Novel Multi-bit Memory Device Using Metal/PVDF-TrFE/Graphene Stack,” Microelectronics Engineering, 109, p.87-89, Sep. (2013).
    – H.J. Hwang, J.H.Yang, E.J. Paek, Y.G.Lee, Cho, C.G.Kang, S.C.Kang, B.H.Lee*, “Ferroelectric Polymer Gated Graphene Memory with Very High Speed Conductivity Modulation,” Nanotechnology, 24, on-line published (2013). Also selected as a paper of particular interest.
    – Y.G.Lee, Y.J.Kim, C.G.Kang, C.Cho, H.J.Hwang, U.J. Jung, B.H.Lee*, “Influences of extrinsic factors on the accuracy of mobility extraction for graphene MOSFETs,” Appl. Phys. Lett. 102(9), 093121 (2013).
    – C.G.Kang, S.K.Lim, S.C.Lee, S.K.Lee,C.Cho, Y.G.Lee, H.J.Jwang, Y.H. Kim, H.J.Choi, S.H.Choe, M.H.Ham and B.H.Lee*, “Effects of Multi-Layer Graphene Capping on Cu interconnects,” Nanotechnology, 24, 115707, (2013). Also selected as a paper of particular interest.
    – M.H. Choe, B.H.Lee, W.J. Park, J.W.Kang, S.H. Jeong , K.J. Cho , W.-K. Hong , B.H.Lee, K.H.Lee. S.J.Park. T.H.Lee, “Characteristics of light-induced electron transport from P3HT to ZnO-nanowire field-effect transistors,” Appl. Phys. Lett. 103, 223305 (2013).
    – M. Son, J.G. Son,K.-J. Moon, B.H. Lee, J.-M. Myoung, M. S. Strano, M.-H. Ham*, and C.A. Ross, “Sub-10-nm Graphene Nanoribbon Array Field-Effect Transistors Fabricated by Block Copolymer Lithography,” Advanced Materials, (2013).
    – S.H. Kim, J.B. Park , J.Y. Woo , C. Cho , W.T. Lee , J.H. Shin , G. Choi , S.S. Park , D.S. Lee , B.H. Lee , H. Hwang,”Threshold-switching characteristics of a nanothin-NbO2-layer-based Pt/NbO2/Pt stack for use in cross-point-type resistive memories,” Microelectronics Engineering, 107, p.33-36, Jul. (2013).
    – J.W.Yoon, W.Park, G.Y.Bae, Y.H.Kim, H.S.Jang, Y.J. Hyung, S.K.Lim, Y.H.Kahng, W.K.Hong, B.H.Lee, H.C.Ko , “Highly Flexible and Transparent Multilayer MoS2 Transistors with Graphene Electrodes”, Small, 9(19), p.3295 (2013).
    – K.S. Min, C. Park,C.Y. Kang, C.S. Park, B.J. Park, Y.W. Kim, B.H. Lee, Jack C. Lee, G. Bersuker, P. Kirsch, R. Jammy, G.Y. Yeom, “Improvement of metal gate/high-k dielectric CMOSFETs characteristics by neutral beam etching of metal gate,” Solid State Electronics, 86, p.75-78, (2013).
    – C.G.Kang, Y.G.Lee, S.K.Lee, E.J,Park, C.Cho, S.K.Lim, H.J.Hwang,H.J.Chung, S.Seo, B.H.Lee*, “Mechanism of the effects of low temperature Al2O3 passivation on graphene field effect transistors”, Carbon, 53, p.182-187 (2013).
    – S.K. Lee, C.G.Kang, Y.G.Lee, C.Cho, E.J.Park, H.J.Chung, S.Seo, H.D.Lee, B.H. Lee*, “Correlation of low frequency noise characteristics with the interfacial charge exchange reaction at graphene devices”, Carbon, 50(11), p.2046, Sep. 2012.
    – S. Park, S. Jung, M. Siddik, M. Jo, J. Park, S. Kim, W. Lee, J. Shin, D. Lee, G. Choi, J. Woo, E. Cha, B.H. Lee and H. Hwang, “Self-formed Schottky Barrier Induced Selector-less RRAM for Cross-point Memory Applications,” Phys. Status Solidi RRL, 6(11), p.454-456, Nov. (2012).
    – U.J. Jung, Y.G.Lee, J.J. Kim, S.K.Lee, I. Mejia, A. Salas-Vwilasenor, M. Quevedo-Lopez, B.H.Lee*, “Indicators of mobility extraction errors due to bulk conduction in bottom gate CdS MOSFETs,” Appl. Phys. Lett., 101, 182106, Nov. 2012.
    – S.K.Lee, M.S.Jo, C.W.Sohn, C.Y.Kang, J.C.Lee, Y.H.Jeong, B.H.Lee*, “New insight into PBTI evaluation method for nMOSFETs with stacked high-k/IL gate dielectric,” IEEE Elect. Dev. Lett., Sep. 2012
    – Y.Kim, Y.G.Lee, M.Kim, C.G. Kang, U.Jung,J.J.Kim, S.C.Song, J. Blatchford, B. Kirkpatrick, H. Niimi, K.Y.Lim, B.H.Lee*, “Capacitance analysis of highly leaky Al2O3 MIM capacitor using time domain reflectometry,” IEEE Electron Dev. Lett., 33(9), p. 1303-1305, Jul. 2012.
    – S.Cimino, A. Padovani, L. Larcher, V.V. Afanas’ev, H.J. Hwang, Y.G. Lee, M. Jurczac, D. Wouters,B.H. Lee, H. Hwang, L. Pantisano, “A study of the leakage current in TiN/HfO2/TiN capacitors ,” Microelectronic Engineering, v.95, p.71-73, Jul. 2012.
    – J.J. Kim, M.J.Moon, L.Pantisano,Y.G.Lee, U.J.Jung, T.Chiarella, M. Togo, N.Horiguchi, G.Groeseneken, B.H.Lee*, “Process dependent N/PBTI characteristics of TiN Gate finFET,” IEEE Elect. Dev. Lett., 33(7), p.937, Jul. 2012.
    – B.H.Lee, Y.G.Lee, U.J.Jung, Y.H.Kim, H.J. Hwang, J.J. Kim, C.G.Kang, “Issues with the Electrical Characterization of Graphene Devices,” Carbon Letters, Vol. 13(1), Jan. 2012. (Invited)
    – M. Choe, W. Park, J.W.Kang, S.H. Jeong, W.K.Hong, B.H. Lee, S.J.Park, T.Lee, “Investigation of threshold voltage instability induced by gate bias stress in ZnO nanowire field effect transistors”, Nanotechnology, 23, 485201 (2012).
    – S. Park, J. Park, S. Kim, W. Lee, B.H. Lee, and H. Hwang, “Programmable Analog Circuits with Multi-level Memristive device,” Electronic Letters, 48(22), p.1415–1417, (2012).
    – K.S. Min, C. Park,C.Y. Kang, C.S. Park, B.J. Park, Y.W. Kim, B.H. Lee, Jack C. Lee, G. Bersuker, P. Kirsch, R. Jammy, G.Y. Yeom, “Improvement of metal gate/high-k dielectric CMOSFETs characteristics by neutral beam etching of metal gate,” Solid State Electronics, in press, (2012).
    – W.T. Lee , J.B. Park , S.H. Kim , J. Woo , J/ Shin , G. Choi , S.S. Park ,D.S. Lee , E. Cha , B.H.Lee, and H. Hwang, “High Current Density and Nonlinearity Combination of Selection Device Based on TaOX/TiO2/TaOX Structure for One Selector-One Resistor Arrays,” ACS Nano, 6 (9), pp 8166–8172 , Sep. (2012).
    – S.C. Lee, J.-S. Yeo, Y.S. Ji, C. Cho, D.Y. Kim, S.I. Na, B.H. Lee, and T. Lee, ” Flexible organic solar cells composed of P3HT:PCBM using chemically doped graphene electrodes”, Nanotechnology, 23, p.344013, Aug. 2012.
    – M.H. Choe, C.-Y. Cho, J.-P. Shim, W.J. Park, S.K. Lim, W.-K. Hong, B.H. Lee, D.S. Lee, S.J. Park, and T. Lee, “Au Nanoparticle-decorated Graphene Electrodes for GaN-based Optoelectronic Devices: Light-Emitting Diodes and Solar Cells”, Appl. Phys. Lett. 101, p.031115 . Jul. 2012.
    – S.C. Lee, G.Jo, S.J. Jang, W.Park, Y.H.Kahng, D.Y.Kim, B.H.Lee, T.H.Lee, “Characterization on improved efffective mobility of pentacene organic field-effect transistor using graphene electrodes,” Jpn. J. Appl. Phys. 51, p.02BK09 , Feb. 2012.
    – C.G.Kang, S.K.Lee, Y.G.Lee, H.J.Hwang, C.H.Cho, S.K.Lim, J.S.Heo, H.J.Chung, S.Seo, B.H.Lee*, “Enhanced current drivability of CVD graphene interconnect in oxygen dificient environment,” IEEE Elec. Dev. Lett. 32(11), p.1591, Sep. 2011.
    – H.J Hwang, C.H. Cho, S.K. Lim, S.Y. Lee, C. G. Kang, H. Hwang, B.H. Lee*, “Electrical Characteristics of Wrinkle-Free Graphene Formed by Laser Graphitization of 4H-SiC,” Appl. Phys. Lett., 99, 082111, 2011. Also, published in Virtual J. of Nanoscale Science and Technology, 24(11), Sep. 2011.
    – Y.G. Lee, C.G. Kang, U.J. Jung, J.J. Kim, H.J. Hwang, H.J. Jeong, S. Seo, R. Choi, B.H. Lee, “Fast Transient Charging at the Graphene/SiO2 Interface causing Hysteretic Device Characteristics,” Appl. Phys. Lett., 98, 183508, 2011. Also, published in Virtual J. of Nanoscale Science and Technology, 23(20), May 23, 2011.
    – C. G. Kang, J.W. Kang, S.K. Lee, S.Y. Lee, C.H. Cho, H.J. Hwang, Y.G. Lee, J. Heo, H.-J. Chung, H. Yang, S. Seo, S.-J. Park, K.Y. Ko, J. Ahn and B.H. Lee, “Characteristics of CVD graphene nanoribbon formed by a ZnO nanowire hardmask,” Nanotechnology, 22, p.295201, 2011.
    – B. H. Lee, H. J. Hwang, C. H. Cho, S. K. Lim, S. Y. Lee, and H. Hwang, “Nano-Electromechanical Switch-CMOS Hybrid Technology and Its Applications, ” J. Nanoscience and Nanotechnology 11, 1, p.256-261, 2011.
    – Y.H. Kim, G. Wang, M.H. Choe, J.W. Kim, S.C. Lee, S.J. Park, D.-Y. Kim, B.H. Lee, T. Lee, “Electronic properties associated with conformational changes in azobenzene-derivative molecular junctions”, Organic Electronics 12, p.2144 , Sep. 2011.
    – H.M.Kwon, W.H.Choi, I.S.Han, M.K.Na, S.U..Park, J.D.Bok, C.Y.Kang, B.H.Lee, R.jammy, H.D.Lee, “Carrier transport mechanism in La-incorporated high-k dielectric/metal gate stcak MOSFETs,”Microelectronics Eng., 88, 3399-3403, Dec. 2011.
    – H.M. Kwon, W.H. Choi, I.S. Han, S.U. Park, B.S. Park, Y.Y. Zhang, C.Y. Kang, B.H.Lee, R. Jammy, H.D.Lee, “Analysis of trap effect on reliability using the charge pumping technology in La-incorporated high-k dielectrics”, Microelectronics Eng., 88, 3415-3418, Dec. 2011
    – S.H. Kim, J.B. Park, S.J. Jung, W.T. Lee, J.Y.Woo, C.Cho, M. Siddik, J.H. Shin, B.H.Lee, H.Hwang, “Excellent resistive switching in nitrogen-doped Ge2Sb2Te5 devices for field-programmable gate array configurations,” Appl. Phys. Lett., 99(19),192110,Nov.,2011.
    – S.U.Park, H.M,Kwon, I.S. Han, Y.J. Jung, H.Y.Kwak, W.I. Choi, M.L. Ha, J.I. Lee, C.Y. Kang, B.H. Lee, R. Jammy, H.D. Lee, “Comparison of multilayer dielectric thin films for future metal-insulator-metal capacitors: Al2O3/HfO2/ Al2O3 versus SiO2/HfO2/SiO2,” Jpn. J. of Appl. Phys. 50(10), Part 2 (2011).
    – S.C.Lee, S.J.Kang, G.H.Jo, M.H.Cho, W.J.Park, J.W.Woon, T.H.Kwon, Y.H.Kahng, D.Y.Kim, B.H.Lee, T.Lee “Enhanced charactteristics of pentacene field-effect transistors with graphene electrodes and substrate treatments,” Appl. Phys. Lett. 99, 083306, Aug. 2011.
    – K.Seo, I.S.Kim, S.J. Jung, M.S.Jo, S.S.Park, J.B.Park, J.H. Shin, K.P. Biju, J.M.Kong, K.H.Lee, B.H. Lee, H. Hwang,“Analog memory and spike-timing-dependent plasticity characteristics of a nanoscale titanium oxide bilayer resistive switching device ,” Nanotechnology, 22(25), p.254023, 2011.
    – H.M. Kwon, I.S. Han, S.U.Park, J.D.Bok, Y.J. Jung, H.S. Shin, C.Y. Kang, B.H. Lee, R. Jammy, H.D. Lee, “Conduction Mechanism and Reliability Characteristics of a Metal–Insulator–Metal Capacitor with Single ZrO2 Layer ,” Jpn. J. of Appl. Phys. 50(4), Part 2, 04DD02, Apr. 2011.
    – Y.H. Kahng, S.C. Lee, M.H. Choe, G.H. Jo, W.J. Park, J.W. Yoon, W.K. Hong, C.H. Cho, B.H. Lee, and T.H. Lee, “Study of graphene films synthesized on nickel substrates: existence and origin of amorphous carbon peaks”, Nanotechnology, 22, 045706, 2011.
    – K.T.Lee, C.Y.Kang, H.S.Choi, S.H.Hong, G.B.Choi, J.C.Kim, S.H.Song, R.H.Baek, M.S.Park, H.C. Sagong, B.H.Lee, G.Bersuker, H.H.Tseng, R.Jammy, Y.H.Jeong, “A comparative study of depth profiling of interface states using charge pumping and low frequency noise measurement in SiO2/HfO2 gate stack nMOSFETs,” Microelectronics Engineering, 88, p.3411, Dec. 2011.
    – S.S. Park, J.H. Shin, S. Cimino, S.J. Jung, J.M. Lee, S.H. Kim, J.B. Park, W.T. Lee, M.W. Son, B.H. Lee, L. Pantisano and H. Hwang, “Feasibility Study of Mo/SiOx/Pt Resistive Random Access Memory in an Inverter Circuit for FPGA Applications”, IEEE Elect. Dev. Lett.,32(12), p.1665, Dec. (2011)
    – H.B. Park, C.S.Park, C.Y. Kang, S.-C. Song, B.H. Lee, T.W. Kim, T.-Y. Jang, D.-H. Kim, J. K. Jeong, and Ri. Choi, “Performance and reliability analysis of p-type metal-oxide-semiconductor field effect transistors with various combinations of Ru and Al gate metal”, J. Vac. Sci. Technol. B 28(6), Nov. 2010.
    – W.-H. Choi, C.-Y. Kang, J.-W. Oh, B.H. Lee, P. Majhi, H.-M. Kwon, R. Jammy, G.-W.Lee and H.D. Lee, “Trade-off Between Hot Carrier and Negative Bias Temperature Degradations in High Performance Si1-xGe1-x pMOSFETs with High-k/Metal Gate Stacks”, IEEE Electron Device Letters, 31, 11, p.1211-1214, Nov. 2010.
    – J.W.Lee, B.H.Lee, H.C.Shin, J.H.Lee, “Comparison of Low Frequency Noise in Channel and Gate Induced Drain Leakage Currents of High-k nFETs,” IEEE Elect. Dev. Lett., 31., 10, p.1086-1088, 2010.
    – J.-W. Lee, B.H. Lee, H. Shin, and J.-H. Lee, “Investigation of Random Telegraph Noise in Gate Induced Drain Leakage (GIDL) and Gate Edge Direct Tunneling (EDT) Currents of High-k MOSFETs,” IEEE Trans. on Elect. Dev., 57(4), p.913, 2010.
    – C.D.Young, D.Heh, R.Choi, B.H.Lee, G.Bersuker, “The Pulsed Id-Vg methodology and Its Application to the Electron Trapping Characterization of High-κ gate Dielectrics ,” J. Semi. Tech. Sci. 10(2), pp.79-99. Jun. 2010.
    – M.H. Choe, G.H. Jo, J.S. Maeng, W.-K. Hong, M.S. Jo, G.U. Wang, W.J. Park, B.H. Lee, H. Hwang, and T. Lee, “Electrical properties of ZnO nanowire field effect transistors with varying high-k Al2O3 dielectric thickness,” J. Appl. Phys., 107(3), AN. 034504, 2010.
    – B.H.Lee, C.Y.Kang, R.Choi, H.D.Lee, G.Bersuker, “Stress field analysis to understand the breakdown characteristics of stacked high-k dielectrics,” Appl. Phys. Lett., 94(16), p.162904, 2009.
    – R.Choi, T.W. Kim, H.Park, B.H. Lee, “Dominant device instability mechanism at scaled Metal-Oxide-Semiconductor FETs with hafnium oxide dielectric”, Jpn. J. Appl. Phys. 48, p.091404 , 2009.
    – H.B.Park, C.S.Park, C.Y.Kang, S.C.Song, B.H.Lee, T.Y.Jang, T.W.Kim, J.K.Jeong, R.Choi, “Effects of a Gd capping layer on electrical characteristics of metal-oxide-semiconductor field effect transistors with a TaC gate electrode and a HfSiON gate dielectric,” Appl. Phys. Lett., 95(19), AN.119113, Nov. 2009.
    – H. Park, M. Chang, M. Jo, R. Choi, B.H. Lee, and H. Hwang, “Device Performance and Reliability Characteristics of Tantalum–Silicon–Nitride Electrode/Hafnium Oxide n-Type Metal–Oxide–Semiconductor Field-Effect Transistor Depending on Electrode Composition,” Jpn. J. Appl. Phys. 48, p.116506 , 2009.
    – C.Y.Kang, R. Choi, B.H. Lee, R.Jammy, “Reliability Characteristics of La-doped High-k/Metal Gate nMOSFETs ,” J. of Semi. Tech. and Sci. 9(3), pp.166-173. Sep. 2009.
    – C.Y.Kang, P.Kirsch, B.H. Lee, H.H.Tseng, R.Jammy, “Reliability of La-doped Hf-based dielectrics nMOSFET,” IEEE Trans. Dev. Mat. Reliability, 9(2), p.172, 2009
    – K.T. Lee, C.Y. Kang, M.S. Park, B.H. Lee, H.K.Park, H.Hwang, H.H.Tseng, R.Jammy, Y.H.Jeong, “A Study of Strain Engineering using CESL Stressor on Reliability Comparing Effect of Intrinsic Mechanical Stress,” IEEE Electron Deve. Lett., 30(7), p.760, .2009.
    – C.D.Young, G.Bersuker, R.Choi, D. Heh, B.H. Lee, Y.Zhao, “Pulsed Id-Vg methodology and its application to electron trapping characterization and defect density profiling,” IEEE Trans. On Electron Device, 56(6), p.1322, 2009.
    – H.S.Choi, S.H.Hong, R.H.Baek, K.T.Lee, C.Y.Kang, R.Jammy, B.H. Lee, Y.H.Jeong, “Low Frequency Noise After Channel Soft Oxide Breakdown in HfLaSiO Gate Dielectric,” IEEE Electron Dev. Lett., 30(5), p.523, 2009.
    – I.S.Han, O.S.Yoo, W.H.Choi, H.M.Kwong, M.K.Na, C.Y.kang, G. Bersuker, B.H. Lee, Y.H.Jeong, H.D.Lee, R.Jammy, “Time Dependent Dielectric Breakdown of La2O3-doped High-k Dielectric/Metal Gate Stacked NMOSFETs” IEEE Electron Deve. Lett., 30(3), p.298, 2009.
    – O.S.Yoo, J.Oh, K.S. Min, C.Y. Kang, B.H. Lee, K.T. Lee, M.K. Na, H.-M. Kwon, P. Majhi, H-H Tseng, R. Jammy, J.S. Wang, H.-D. Lee, “Effect of Si capping layer on the interface quality and NBTI of high mobility channel Ge-on-Si pMOSFETs,“ Microelectronics Eng. 86(3), p.259, 2009.
    – W.H. Choi, I.S. Han, H.M. Kwon, T.G. Goo, M.K. Na, O.S. Yoo, G.W. Lee, C.Y. Kang, R.Choi, S.C.Song, B.H. Lee, R.Jammy, Y.H. Jeong and H.-D. Lee, “Comparison of La-based high-k dielectrics: HfLaSiON and HfLaON,” Microelectronics Eng. 86(3), p.268, 2009.
    – C.D. Young, G. Bersuker, J. Tun, R.Choi, D. Heh, B.H. Lee, “”Smart” TDDB algorithm for investigating degradation in high-k gate dielectric stacks under constant voltage stress, “ Microelectronics Eng. 86(3), p.287, 2009.
    – C.D. Young, J.W.Yang, K. Matthews, S. Suthram, M.M.Hussain, G. Bersuker, C. Smith, R. Harris, R.Choi, B.H.Lee, H.H.Tseng, “Hot carrier degradation in HfSiON fin shaped field effect transistor ith different substrate orientations,” J. Vac. Sci. and Tech. B 27(1), p.468, 2009.
    – H.B.Park, B.S.Ju, C.Y.Kang, C.Park, C.S.Park, B.H. Lee, T.W.Kim, B.S.Kim, R.Choi, “Performance and reliability improvement of HfSiON gate dielectrics using chlorine plasma treatment,” Appl. Phys. Lett., 94, p.042911, 2009.
    – J.-W. Yang, H. R. Harris, G. Bersuker, C. Y. Kang, J. Oh, B. H. Lee, H.-H. Tseng, R. Jammy, “New Hot-Carrier Injection Mechanism at Source Side in Nanoscale Floating-Body MOSFETs,” IEEE Elect. Dev. Lett., 30(1), p.54-56, 2009.
    – B.H.Lee, S.C.Song, R.Choi and P.Kirsch, “Metal electrode/high-k dielectric gate stack technology for power management,” IEEE Trans. on Electron Device, 55, p.8, 2008. (Invited)
    – P. Lysaght, J.C. Woicik , M. Sahiner, B. H. Lee, R. Jammy, “Characterizing crystalline polymorph transitions in HfO2 by extended x-ray absorption fine-structure spectroscopy,” Appl. Phys. Lett., 91, 122910, 2007.
    – H.J. Na, J. Lee, D. Heh , P. Sivasubramani , P. Kirsch, J. Oh, P.Majhi, B.H.Lee, S. Rivillon, Y. Chabal , “Effective surface passivation methodologies for high performance germanium Metal Oxide Semiconductor Field Effect Transistors,” Appl. Phys. Lett., 93. p.192215, 2008.
    – J. G. Wang, J. Kim, C.Y. Kang, B.H. Lee, R. Jammy, R. Choi, and M. J. Kim, “Origin of tensile stress in the Si substrate induced by TiN/HfO2 metal gate/high-k dielectric gate stack,” Appl. Phys. Lett., 93, 161913, 2008.
    – K. Choi, H.C.Wen, G. Bersuker, H. Harris, B.H.Lee, “Mechanism of Flatband voltage Roll-Off Studied with Al2O3 Film Deposited on Terraced oxide,” Appl. Phys. Lett. 93, 133056, 2008.
    – J.M. Lee, H.K. Park, M. Hasan, M. Jo, M. Chang, B. H. Lee, C.S. Park, C.Y. Kang and H. Hwang, “Modulation of TiSiN effective workfunction using high-pressure post metallization annealing in dilute oxygen ambient,” Appl. Phys. Lett. 92, p.263505, 2008.
    – P. Sivasubramani , J. Huang , C. Young , M. Quevedo-Lopez , H.-C. Wen , H. Al-Shareef , K. Choi , C.S. Park , K. Freeman , M. Hussain , G. Bersuker , H. R. Harris , P. Majhi , R. Choi , P.Lysaght , B.H. Lee , H.-H. Tseng , R. Jammy , T. Boescke , D. Lichtenwalner , J. Jur , A. Kingon, “Dipole Model Explaining High-k/Metal Gate Field Effect Transistor Threshold Voltage Tuning,” Appl. Phys. Lett. 92, 092901, 2008.
    – Y. Wang, K.P. Cheung, R. Choi, B.H.Lee, “Accurate C-V measurement method for highly leaky devices; part I,” IEEE Tran. On Electron Dev. 55(9), p.2423, 2008.
    – Y. Wang, K.P. Cheung, R. Choi, B.H.Lee, “Accurate C-V measurement method for highly leaky devices; part II,” IEEE Tran. On Electron Dev. 55(9), p.2429, 2008.
    – K.T.Lee, C.Y. Kang, B.S. Ju, R. Choi, K.S.Min, O.S.Yoo, B.H.Lee, R. Jammy, J.C.Lee, H.D. Lee, Y.H. Jeong, “Effects of in situ O2 plasma treatment on off-state leakage and reliability in metal-gate/high-k dielectric MOSFETs,” IEEE Electron. Dev. Lett., 29, p.565, 2008.
    – C.Y.Kang, J.W. Yang, J.Oh, R.Choi, Y.J.Suh, H.C. Floresca, J. Kim, M Kim, B.H. Lee, H.H.. Tseng, R. Jammy, “Effects of film stress modulation using TiN metal gate on stress engineering and its impact on device characteristics in metal gate/ high-k dielectric SOI FinFETs,” IEEE Electron. Dev. Lett., 29, p.487, 2008.
    – K.T.Lee, C.Y.Kang, O.S.Yoo, R.Choi, B.H.Lee, J.C.Lee, H.D.Lee and Y.H.Yoon, “PBTI-associated high temperature hot carrier degradation of nMOSFETs with metal-gate/high-k dielectrics,” IEEE Electron. Dev. Lett., 29, p.389, 2008.
    – H.K. Park, M.S. Jo, H.J. Choi, M. Hasan, R. Choi, P. Kirsch, C.Y. Kang, B.H. Lee, T.W. Kim, T.H. Lee and H. Hwang, “The effect of nano-scale non-uniformity of oxygen vacancy on electrical and reliability characteristics of HfO2 MOSFET devices,” IEEE Elec. Dev. Lett., 29, p.54, 2008.
    – H.C. Wen, P. Majhi, K.Choi, C.S.Park, H.Alshareef, H.Rusty, H.Luan, H.Niimi, H.B.Park, G.Bersuker, P.Lysaght, D.L.Kwong, S.C.Song, B. H. Lee and R. Jammy, “Decoupling the Fermi level pinning effect and intrinsic limitations on p-type effective work function metal electrodes”, Microelectronic Engineering, 85, p.2, 2008.
    – P.Kirsch, P. Sivasubramani , J. Huang , C. Young , M. Quevedo-Lopez , H.-C. Wen , H. Al-Shareef , K. Choi , C.S. Park , K. Freeman , M. Hussain , G. Bersuker , H. R. Harris , P. Majhi , R. Choi , P.Lysaght , B.H. Lee , H.-H. Tseng , R. Jammy , T. Boescke , D. Lichtenwalner , J. Jur , A. Kingon, “Dipole Model Explaining High-k/Metal Gate Field Effect Transistor Threshold Voltage Tuning,” Appl. Phys. Lett. 92, 092901, Mar. 2008.
    – A. Neugroschel, G.Bersuker, R.Choi, and B.H.Lee, “Effect of the interfacial SiO2 Later in high-k HfO2 gate stacks on NBTI,” IEEE Tran. Dev. And Mat. Relia., p.47, 2008.
    – O. Sharia, G. Bersuker, B. H. Lee and A. Demkov, “Effect of aluminum incorporation on band alignment at the SiO2/HfO2 interface”, Phys. Rev. B, 77, p.1, 2008.
    – H. Park, R.Choi, B.H. Lee, G. Bersuker, H. Hwang, “Stress-polarity-independent negative threshold voltage shift in HfO2/TiN P-channel metal oxide semiconductor field-effect transistor ,” Jpn. J. Appl. Phys., Part 1: 47 (1), pp. 136-138, 2008.
    – P.S.Lysaght, J.C. Woicik, M.A.Sahiner, B.H. Lee, R. Jammy, “Incipient amorphous-to-crystalline transition in HfO2 as a function of thickness scaling and anneal temperature,” J. Nanocrystalline Solid, 354, p.399, 2008
    – O.S. Yoo, J. Oh, C.Y. Kang, B.H. Lee, I.S. Han, W.-H. Choi, H.-M. Kwon, M.-K. Na, P. Majhi, H.-H.Tseng, R. Jammy, J.S. Wang, H.-D. Lee, “Effect of Si interlayer thickness and post-metallization annealing on Ge MOS capacitor on Ge-on-Si substrate,” Materials Science and Engineering B, 154, p.102-105, 2008.
    – Y.Y.Zhang, J.Oh, T.S Bae, Z.Zhong, S.G.Li, S.Y. Jung, K.Y.Park, G.W.Lee, J.S.Wang, P.Majhi, B.H.Lee, H.H. Tseng, Y.H. Jeong, H.D. Lee, “Phase separation of Ni germanide formed on a Ge-on-Si structure for Ge MOSFETs,” Electrochemical and Solid-State Lett. 11(1), H1, 2008.
    – S. C. Song, M. M.Hussain, C. Burham, C. S. Park, B. H. Lee, and R. Jammy, “Strain-enhanced scaling of HK+MG CMOSFETs,” Solid State Technology, 50(9), pp.46-49, September, 2007.
    – T. Boscke, S. Govindarajan , P. Kirsch , P.Y. Hung , C. Krug, B. H. Lee, J. Heitmann , U. Schroeder , G. Pant , B. Gnade , W. Krautschneider, “Stabilization of Higher-k Tetragonal HfO2 by SiO2 Admixture Enabling Thermally Stable Metal Insulator Metal Capacitors”, Appl. Phys. Lett., 91, 072902, 2007.
    – B. H. Lee, C.Y.Kang, S. Krishnan, P.Kirsch, D. Heh, C. Young, J.W. Yang, G. Bersuker, R.Choi, H.D.Lee, “Electric-field-driven dielectric breakdown of metal-insulator-metal hafnium silicate”, Appl. Phys. Lett. 91, p.243514, 2007.
    – P.S. Lysaght, J.C. Woicik, M. A. Sahiner, B.H. Lee and R. Jammy, “Incipient amorphous-to-crystalline transition in HfO2 as a function of thickness scaling and anneal temperature,” J. of Non-Crystalline Solids, 354, p.399, 2008.
    – C.D. Young, D. Heh, A. Neugroschel, R. Choi, B. H. Lee, and G. Bersuker, “Electrical characterization and analysis techniques for high-k era”, Microelectronics Reliab., 47 (4), p.479-488, 2007. (Invited)
    – S. Govindarajan, T. S. Böscke, P. Sivasubramani, U. Schröder, S. Ramanathan, P.D. Kirsch,B. E. Gnade, B. H. Lee, H.-H. Tseng, R. Jammy, “Higher Permittivity Rare Earth Doped Hafnium Oxide for sub-45nm Metal-Insulator-Semiconductor Device Applications,” Appl. Phys. Lett., 91, 062906, 2007.
    – C.Y. Kang, R. Choi, M. Hussain, J. Wang, Y.J. Suh, H. Floresca, M. Kim, J. Kim, B. H. Lee, R. Jammy “Effects of Metal Gate-Induced Strain on the Performance of Metal-Oxide-Semiconductor Field Effect Transistors with Titanium Nitride Gate Electrode and Hafnium Oxide Dielectric”, Appl. Phys. Lett., 91, p.033511, 2007.
    – C.Y. Kang, R. Choi, S.C. Song and B. H. Lee, “Effects of Gate Edge Profile On Off-state Leakage Suppression in Metal Gate/High-k Dielectric Metal-Oxide-Semiconductor Field Effect Transistors,” Appl. Phys. Lett., 90, 183501, 2007.
    – Y. Wang, K. P. Cheung, R. Choi, G. A. Brown, B. H. Lee, “Error and correction in capacitance-voltage measurement due to the presence of source and drain,” IEEE Elec. Dev. Lett. 28, p.640, 2007.
    – O. Sharia, A.Demkov, G.Bersuker, B. H. Lee, “Theoretical study of the insulator interface: band alignment at the SiO2/HfO2 junction,” Phys. Rev. B, 74, 1, 2007.
    – H.C. Floresca, J. Wang, M. Kim, J. Kim, C.Y. Kang, R. Choi, S.C. Song, H.H. Tseng, B. H. Lee, R. Jammy, “Determination of Strain in the Silicon Channel Induced by a Metal Electrode,” Microscopy and Microanalysis, 13, Supplement S02, pp 838-839, 2007.
    – S. Yoshi, C. Krug, D. heh, H.J. na, H.R. Harris, J.W, Oh, P.D. Kirsch, P. Majhi, B. H. Lee, H.H. Tseng, R. jammy, J.C. Lee and S.K. Banerjee, “Improved Ge Surface passivation with ultrathin SiOx enabling high mobility surface channel pMOSFETs featuring a HfSiO/WN gate stack,” IEEE Electr. Dev. Lett. 28, p.308, 2007.
    – Y. Wang, K. P. Cheung, R. Choi, G. A. Brown, B. H. Lee, “Accurate series resistance extraction from capacitor using Time-Domain-Reflectometry,” IEEE Electr. Dev. Lett. 28, p.279, 2007.
    – Y. Wang, K. P. Cheung, R. Choi, G. A. Brown, B. H. Lee, “Time Domain Reflectometry for capacitance-voltage measurement with very high leakage current,” IEEE Electr. Dev. Lett. 28, p.51, 2007.
    – H. Park, R. Choi, B.H. Lee, and H. Hwang, “Improved Hot Carrier Reliability Characteristics of Metal Oxide Semiconductor Field Effect Transistors with High-k Gate Dielectric by Using High Pressure Deuterium Post Metallization Annealing,” Jpn. J. Appl. Phys., 46 (33), p. 786, 2007.
    – M. Chang, M. Jo, H. Park, B. H. Lee, R.Choi, and H. Hwang, “Effect of F2 Post-Metallization Annealing on the Electrical and Reliability Characteristics of HfSiO Gate Dielectric”, IEEE Elec. Dev. Lett., 28, p.21, 2007.
    – G.Bersuker, J.H.Sim, C.S.Park, C.D.Young, S.V.Nadkarni, R.Choi and B. H. Lee, “Mechanism of electron trapping and characteristics of traps in HfO2 gate stacks,” IEEE. Trans. on Dev. And Mat. Reliability, 7, p.138, 2007.
    – P. Lysaght, J.C. Woicik , M. Sahiner, B. H. Lee, R. Jammy, “Characterizing crystalline polymorph transitions in HfO2 by extended x-ray absorption fine-structure spectroscopy,” Appl. Phys. Lett., 91, 122910, 2007.
    – P.Kirsch, M.A.Quevedo-Lopez, S.A.Krishnan, G.Pant, M.J.Kim, R.M.Wallace, B.E.Gande, and B. H. Lee, “Mobility and Charge Trapping Comparison for Crystalline and Amorphous HfON and HfSiON Gate Dielectrics”, Appl. Phys. Lett., 89, 242909, (2006)
    – B.H.Lee, J.W. Oh, H.H. Tseng, R. Jammy and H. Huff, “Gate Stack Technology for Nano Scale Devices: Current and Future Challenges”, Materials Today, v.9, p.32, 2006. (Invited)
    – B. H. Lee, P. Kirsch, H. Alshareef, P. Majhi, R. Choi, S. Song, H. H. Tseng and R. Jammy, “Review of alternative gate stack technology research during the last decade”, Ceramist, 9, p.57,2006.
    – H.C. Wen,R. Choi, G.A.Brown, T.Boscke, K.Matthews, H.R.Harris, K.Choi, H.N.Alshareef, H.Luan, G.Bersuker, P.Majhi, D.L.Kwong, and B. H. Lee, “ Comparison of effective workfunction extraction methods using capacitance and current measurement techniques”, IEEE Elec. Dev. Lett.,27,7,p.598 2006.
    – H.-C. Wen, H. R. Harris, C. Young, H.Luan, H. Alshareef, K. Choi, D.-L. Kwong, P. Majhi, G. Bersuker, and B. H. Lee, “On oxygen deficiency and fast charge trapping effects in high-Kdielectrics”, IEEE Elec. Dev. Lett., 27, p.984, 2006.
    – S.A. Krishnan, M.Quevedo, R. Harris, P. D. Kirsch, R. Choi, B. H. Lee, G. Bersuker and J.C. Lee, “NBTI Dependence on Dielectric Thickness and Nitrogen concentration in Ultra-scaled HfSiON Dielectric/ ALD-TiN Gate Stacks”, Jpn. J. Appl. Phys., 45, p.2945, 2006.
    – S. C. Song, M. M.Hussain, C. Burham, C. S. Park, B. H. Lee, and R. Jammy, “Enhanced Process-Induced Strain using Metal Gate/High-k Dielectric Stack on Nano-scale CMOSFET,” Solid State Technology, September, 2007.
    – S. C. Song, M. M. Hussain, J. Barnett, B. S. Ju, and B. H. Lee, “Integrating dual workfunction metal gates in CMOS,” Solid State Technology, 49(8), pp.47-50, August, (2006)
    – P.Majhi, HC.Wen, H.N.Alshareef, H.R.Harris, H.Luan, K.Choi, C.S.Park, S.C.Song, B. H. Lee and R.Jammy, “A systematic approach to addressing metal gate issues on high-k dielectrics for future generation CMOS”, MICRO, 2006.
    – D.Heh, R. Choi, C.D. Young, B. H. Lee and G.Bersuker, “ A novel bias temperature instability characterization methodology for high-k MOSFETs”, IEEE Elec. Dev. Lett., 27, p.849, 2006.
    – Z. Zhang, S.C. Song, M. Quevedo, K. Choi, P. Kirsch, P. Lysaght, and B. H. Lee, “Co-optimization of Metal Gate/High-k Stack to Achieve High-Field Mobility > 90% of SiO2 Universal with EOT = ~1 nm”, IEEE Elec. Dev. Lett. 27, p.185, 2006.
    – H.K. Park, R. Choi, B. H. Lee, S.C. Song, M. Chang, C.D. Young , G. Bersuker, J.C. Lee and H. Hwang, “Decoupling of cold carrier effects in hot carrier reliability assessment of HfO gated nMOSFETs”, IEEE Elec. Dev. Lett., v.27, 8, p.662, 2006.
    – S. C. Song, Z. Zhang, C. Huffman, J. H. Sim, S. H. Bae, P. Kirsch, P. Majhi, N. Moumen, and B. H. Lee, “Highly Manufacturable Advanced Gate Stack Technology for Sub 45nm Self-Aligned Gate-First CMOSFETs,” IEEE Trans. on Elec. Dev. 53, p.979, 2006. (Invited)
    – H.N. Alshareef, H.C.Wen, H.F. Luan, K. Choi, H.R. Harris, Y. Senzaki, P. Majhi, B. H. Lee, B.Foran, G. Lian, “Temperature dependence of the work function of ruthenium-based gate electrodes”, Thin Solid Films, 515(4), pp.1294-1298 (2006).
    – H.N. Alshareef, M. Quevedo-Lopez, H.C.Wen, H.R. Harris, P.Kirsch, P. Majhi, B. H. Lee, R. Jammy, D.J.Lichtenwalner, J.S.Jur, A.I.Kingon, “Metal gate workfunction engineering using lanthanide oxide interfacial layers”, Appl. Phys. Lett. 89, p.232103, 2006.
    – G. Bersuker, P. S. Lysaght, C. S. Park, J. Barnett, C. D. Young, P. D. Kirsch1, R. Choi, B. H. Lee, B. Foran, K. van Benthem, S. J. Pennycook, P. M. Lenahan and J. T. Ryan, “The effect of interfacial layer properties on the performance of Hf-based gate stack devices”, J. Appl. Phys. 100, p.094108, 2006.
    – K .Choi, H.N. Alshareef, H.C. Wen, R.Harris, H.Luan, Y. Senzaki, P. Lysaght, P. Majhi and B. H. Lee, “Effective Work Function Modulation of atomic-layer-deposition-TaN film by capping layer”, Appl. Phys. Lett., 89, 032113, 2006.
    – C.D. Young, D. Heh, S. Nadkarni, R. Choi, J.J. Peterson, J. Barnett, B. H. Lee, and G. Bersuker, “Electron Trap Generation in High-k Gate Stacks by Constant Voltage Stress”, IEEE Trans. Dev. Mat. Reliability, 6, p.123-131, 2006.
    – C.Y. Kang, R. Choi , S.C. Song , C.D. Young, G. Bersuker, B. H. Lee, and J.C. Lee, “Transient Bi-Carrier Response in High-k Dielectrics and its Impact on Transient Charge Effects in High-k CMOS Device”, Appl. Phys. Lett., 88, p.162905, 2006.
    – H. Luan, H. N. Alshareef, H. R. Harris, H. C. Wen, K. Choi, Y. Senzaki, P. Majhi and B. H. Lee, “Evaluation of titanium silicon nitride as gate electrodes for complimentary metal-oxide semicondiuctor”, Appl. Phys. Lett., 88, p.142113, 2006.
    – H.N. Alshareef, H. F. Luan, K. Choi, H.C. Wen, H.R. Harris, Y. Senzaki, P. Majhi and B. H. Lee, “Metal gate Work Function Engineering Using AlNx Interfacial Layers”, Appl. Phys. Lett. 88, p.111124, 2006.
    – G. Bersuker, B. H. Lee and H. Huff, “Novel dielectric Materials for Future transistor Generations”, Int. J. of High speed electronics and systems, v.16, n.1 (2006) p.221-239.
    – H.N. Alshareef, K. Choi, H.C. Wen, H. F. Luan, H.R. Harris, Y. Senzaki, P. Majhi, B. H. Lee, R.Jammy, S. Aguirre-Tostado, B. E. Gnade, and R. M. Wallace “Composition dependence of the work function of Ta1-xAlxNy Metal Gates”, Appl. Phys. Lett., 88, p.072108, 2006.
    – R. Choi, C.D. Young, G. Bersuker, Y.Zhao, B. H. Lee, “Characterization and Reliability Measurement Issues in Novel Gate Stack Devices,” Thin Solid Films, 504, p.223, 2006.
    – S.C. Song, J. Sim, Z. Zhang, S. Bae, P. Kirsch, G. Bersuker, and B. H. Lee, “Morphology and Crystallization of Ultra Thin HfON (EOT≤1nm) with TiN Metal Gate: Impact on Electron Mobility,” Electrochem. Solid-State Lett. 9, G77, 2006.
    – P. D. Kirsch, M. A. Quevedo-Lopez, H. -J. Li, Y. Senzaki, J. J. Peterson, S. C. Song, S. A. Krishnan, N. Moumen, J. Barnett, G. Bersuker, P. Y. Hung, B. H. Lee, T. Lafford, Q. Wang, D. Gay, and J. G. Ekerdt “Nucleation and Growth Study of Atomic Layer Deposited HfO2 Gate Dielectrics Resulting in Improved Scaling and Electron Mobility”, J. Appl. Phys., 99, 023508, 2006.
    – S.H.Bae, S.C.Song, K.Choi, G.Bersuker, G.A.Brown, D.L.Kwong, and B. H. Lee, “Thickness optimization of the TiN metal gate with polysilicon-capping layer on Hf-based high-k dielectric,” Microelectronic Engineering, 83, 460, 2006.
    – G. Thareja, S. Rhee, H.-C. Wen, R. Harris, P. Majhi, B. H. Lee and J.C. Lee, “NMOS Compatible Work Function of TaN Metal Gate With Gadolinium Oxide Buffer Layer on Hf-Based Dielectrics”, IEEE Elec. Dev. Lett., 27, p.802, 2006.
    – K.S.Chang, M. Green , J. Suehle , E. Vogel , H. Xiong , J. Hattrick-Simpers , I. Takeuchi , O. Famodu , K. Ohmori, P. Ahmet , T. Chikyow , P. Majhi , B. H. Lee and M. Gardner, “Combinatorial Study of Ni-Ti-Pt Ternary Metal Gate Electrodes on HfO2 for the Advanced Gate Stack,” Appl. Phys. Lett., 89, p.142108, 2006.
    – J.L.Gavartin, D.Munz Ramo, A.L.Shluger, G.Bersuker, B. H. Lee, “Negative oxygen vacancies in HfO2 as charge traps in high-k stacks,” Appl. Phys. Lett. 89, p.082908, 2006.
    – M. S. Rahman, H. Park, M. Chang, D. Lee, B. H. Lee and H. Hwang “Enhanced Reliability and Performance of High-k MOSFET by Two-Step Annealing,” Electrochem. Solid-State Lett. 9, G127, 2006.
    – S. C. Song, Z. Zhang, C. Huffman, S. H Bae, J. H. Sim, and B. H. Lee, “Improved Gate-Edge Profile of Metal/High-k Gate Stack Using a NH3 Ashing Process in Gate-First CMOSFETs”, Electrochem. and Solid-State Lett. 9, G4, 2006.
    – B.H. Lee, C. Young, R. Choi, J.H. Sim, G. Bersuker and G. Brown, “Transient charging in high-k gate dielectrics and it’s implications,” Jpn. J. of Appl. Phys., Vol. 44, No. 4B, 2415, 2005.
    – B.H. Lee, R. Choi, J. Sim, S. Krishnan, J. Peterson, G.A. Brown and G.Bersuker, “Validity of constant voltage stress based reliability assessment of high-k devices,” IEEE Trans. Dev. Mat. Reliability, v.5, p.20, 2005. (Invited)
    – J.H. Sim, B. H. Lee R. Choi, S.-C.Song, and G. Bersuker, “Hot Carrier Degradation of HfSiON Gate Dielectrics with TiN Electrode”, IEEE Trans. Dev. Mat. Reliability, v.5, p.177, 2005.
    – J.H.Sim, S.C.Song, P.D.Kirsch, C.D.Young, R.Choi, D.L.kwong, B. H. Lee and G.Bersuker, “Effect of ALD HfO2 thickness on charge trapping and mobility”, Microelectronic Eng., 80, p.218, 2005.
    – J.H. Sim, R. Choi, B. H. Lee, C. Young and G. Bersuker, “Trapping/de-trapping gate bias dependence of Hf-silicate dielectrics with poly and TiN gate electrode,” Jpn. J. of Appl. Phys., 44, 4B, p.2420 2005.
    – C.D. Young, Y. Zhao, M. Pendley, B. H. Lee, K. Matthews, J.H. Sim, R. Choi, G.A. Brown, R.W. Murto and G. Bersuker, “Ultra-Short Pulse Current-Voltage Characterization of the Intrinsic Characteristics of High-κ Devices,” Jpn. J. of Appl. Phys., vol. 44, No. 4B, 2437, 2005.
    – C.S. Park, N. Moumen, J. H. Sim, J. Barnnet, B. H. Lee, and G. Bersuker, “Performance of HfO2 Gate Stacks with in-situ Grown and O3 Chemical Interfacial Oxide Layers”, Appl. Phys. Lett. 87, p.253510, 2005.
    – M.S. Akbar, N.Moumen, J.Barnett, B. H. Lee, and J.C.Lee, “Improvement in bias instabilities of Hf-silicate by dilute hydrochloric acid(500:1) post deposition rinsing and its effect after high pressure H2 anneal”, Appl. Phys. Lett., 87, p.252903, 2005.
    – H. Luan, H. N. Alshareef, P. S. Lysaght, H. R. Harris, H. C. Wen, K. Choi, P. Majhi and B. H. Lee, “Evaluation of tantalum silicon alloy system as gate electrodes,” Appl. Phys. Lett. 87, p.212110, 2005.
    – H. Park, M. S. Rahman, M. Chang, B. H. Lee, R. Choi, C. D. Young and H. Hwang, “Improved Interface Quality and Charge-Trapping Characteristics of MOSFETs with High-k Gate Dielectric,” IEEE Elec. Dev. Lett., 26. p.725, 2005.
    – H. R. Harris, R. Choi, J.H. Sim, C. Young, P. Majhi, B. H. Lee, G. Bersuker, “Electrical Observation of Deep Traps in High-k/Metal Gate Stack Transistors,” IEEE Elec. Dev. Lett., 26, p.839, 2005.
    – P. S. Lysaght, B. Foran, G. Bersuker, J. J. Peterson, C. D. Young, P. Majhi, B. H. Lee, and H. R. Huff, “ Physical comparison of HfO2 transistors with polycrystalline silicon and TiN electrodes”, Appl. Phys. Lett., 87, p.082903, 2005.
    – C.D. Young, P. Zeitzoff, G.A. Brown, G.Bersuker, B. H. Lee, and J.R. Hauser, “Intrinsic mobility evaluation of high-k gate dielectric transistors using pulse Id-Vg,” IEEE Elec. Dev. Lett. 26 , p.586, 2005.
    – Z. Zhang, S.C. Song, C.Huffman, M. M. Hussain, J. Barnett, N.Moumen, H.N. Alshareef, P.Majhi, J.H. Sim, S.H. Bae, and B. H. Lee, “Integration of Dual Metal Gate CMOS on High-k Dielectrics Utilizing a Metal Wet Etch Process,” J. Electrochem. and Solid-State Lett. 8, G271, 2005.
    – H.-C. Wen, P. Lysaght, M. Campin, B. Foran, G. Lian, Rusty Harris, H. Alshareef, K. Choi, H. Luan, C. Huffman, P. Majhi, B. H. Lee and D.L. Kwong, “Thermal response of Ru electrodes in contact with SiO2 and Hf based high-K gate dielectrics,” J. Appl. Phys. 98, p.043520, 2005.
    – G. Bersuker, P. Zeitzoff, J. Sim, B. H. Lee, R. Choi, G. Brown, C. Young, “Mobility Evaluation in Transistors with Charge Trapping Gate Dielectrics,” Appl. Phys. Lett. 87, p.042905, 2005.
    – H.N. Alshareef, H.C. Wen, K. Choi, R. Harris, H. Luan, P. Lysaght, P. Majhi, M. El-Bouanani, V. Ukride, and B. H. Lee, “Modulation of the work function of silicon gate electrode using thin TaN interlayers,” Appl. Phys. Lett., 87, p.052109, 2005.
    – S.C. Song, Z. Zhang, and B. H. Lee, “Effects of Boron Diffusion in PMOSFETs with TiN/HfSiO Gate Stack,” IEEE Elec. Dev. Lett. 26, p.366, 2005.
    – C.Y. Kang, P. Lysaght, R. Choi, B. H. Lee, S.J. Rhee, C.H. Choi, M. S. Akbar, and J.C. Lee, “Nickel-silicide phase effects on flatband voltage shift and equivalent oxide thickness decrease of hafnium silicon oxynitride metal-silicon-oxide capacitors,” Appl. Phys. Lett. 86, p.222966, 2005.
    – R. Choi, B. H. Lee, C.D. Young, J.H. Sim, and G. Bersuker, “Temperature effect of constant bias stress on MOSFET with HfSiON gate dielectric,” Jpn. J. of Appl. Phys., 44, 4B, p.2201,2005.
    – J. Barnett, C.D. Young, N,Moumen, G.Bersuker, J.J.Peterson, G.A. Brown, B.H.Lee, H.R.Huff, “Enhanced surface preparation technique for the Si/high-k interface”, Diffusion and Defect data pt.B: Solid state pheonomena, 103-104, pp.11-14, (2005).
    – M.S. Akbar, N. Moumen, J. Barnett, B. H. Lee e and J.C. Lee, “Mobility improvement after HCl post-deposition cleaning of high-k dielectric, A potential issue in wet etching of dual metal gate process technology,” IEEE Elect. Dev. Lett., 26, p.163, 2005.
    – R. Choi, S.J. Rhee, B. H. Lee, J. C. Lee, and G. Bersuker, “Charge trapping and detrapping characteristics in hafnium silicate gate stack under static and dynamic stress,” IEEE Elect. Dev. Lett., 26, p.197, 2005.
    – S.C.Song, B. H. Lee, Z.Zhang, S.H.Bae, K.Choi, P.Zeitzoff, “Impact of Deposition Method of Metal Gate on Characteristics of Gate-First MOSFET with Hf-Silicate,” Electrochemical and solid-state Lett., 8, G261, 2005.
    – K. Choi, P. Lysaght, H. Alshareef, C. Huffman, H.-C. Wen, R. Harris, H. Luan,P.-Y. Hung, C. Sparks, M. Cruz, K. Matthews, P. Majhi, B. H. Lee, “Growth mechanism of TiN film on dielectric films and the effects on the work function”, Thin Solid Films, 486, p.141, 2005.
    – R. Choi, S. C. Song, C. D. Young, G. Bersuker, and B. H. Lee, “Charge trapping and detrapping characteristics in hafnium silicate gate dielectric using an inversion pulse measurement technique,” App. Phys. Lett., 87, p.122901, 2005.
    – C. D. Young, G. Bersuker, Y. Zhao, J. J. Peterson, J. Barnett, G. A. Brown, J. H. Sim, R. Choi, B. H. Lee and P. M. Zeitzoff, “Probing stress effects in HfO2 gate stacks with time dependent measurements,” Microelectronics and Reliability, vol. 45, No. 5-6, p. 806, 2005.
    – G. Bersuker, J. Barnett, N. Moumen, S. Stemmer, M. Agustin, B. Foran, C. D. Young, P. Lysaght, B. H. Lee, Peter M. Zeitzoff, and H. R. Huff, “Interfacial Layer-Induced Mobility Degradation in High-k Transistors,” Jpn. J. Appl. Phys., 43, p.7899, 2004.
    – J.J. Peterson, C. D. Young, J. Barnett, S. Gopalan, J. Gutt, C.H. Lee, H.J. Li, T.H Hou, Y. Kim, C. Lim, N. Chaudhary, N. Moumen, B. H. Lee, G. Bersuker, G. Brown, P. Zeitzoff, M. Gardner, R. Murto, and H.Huff, “Subnanometer Scaling of HfO2/Metal Electrode Gate Stacks,” Electrochemical and Solid-State Letters, 7, No. 8, G164, 2004.
    – G.Bersuker, J.H.Sim, C.D.Young, R.Choi, P.M.Zeitzoff, G.A.Brown, B. H. Lee, R.W.Murto, “Effect of Preexisting defects n reliability assessment of high-k dielectrics,” Microelectronics reliability, 44, p.1509, 2004.
    – S. Zafar, B. H. Lee, and J. Stathis, “Evaluation of Negative Bias Temperature Instability in HfO2 Gate Dielectric Stacks with Tungsten Gates,” IEEE Electron Dev. Lett., v.25, p153, 2004.
    – K. Rim, R. Anderson, D. Boyd, F. Cardone, K. Chan, H. Chen, S. Christansen, J. Chu, K. Jenkins, T. Kanarsky, S. Koester, B. H. Lee, K. Lee, V. Mazzeo, A. Mocuta, D. Mocuta, P. M. Mooney, P. Oldiges, J. Ott, P. Ronsheim, R. Roy, A. Steegen, M. Yang, H. Zhu, M. Ieong and H. -S. P. Wong, “Strained Si CMOS (SS CMOS) technology: opportunities and challenges,” Solid-State Electron. 47, 7, p.1133, 2003.
    – Y.J. Cho, N.V.Nguyen, C.A.Richter, J.R.Ehrstein, B. H. Lee, and Jack C.Lee, “Spectroscopic ellipsometry characterization of high-k dielectric HfO2 thin films and the high-temperature annealing effects on their optical properties,” Appl. Phys. Lett. 80, p.1249, 2002.
    – B. H. Lee, L. Kang, R. Nieh, W. Qi, and J. C.Lee, “Thermal stability and electrical characteristics of Hafnium oxide gate dielectric reoxidized with rapid thermal annealing,” Appl. Phys. Lett. 76, p.1926, 2000. (First journal paper on thin HfO2 gate dielectric, cited more than 500 times)
    – W. Qi, R. Nieh, B. H. Lee, L. Kang, Y. Jeon, and J.C. Lee , “Electrical and reliability characteristics of ZrO2 deposited directly on Si for gate dielectric application,” Appl. Phys. Lett. 77, p.3269, 2000.
    – W. Qi, R. Nieh, E. Dhamarajan, B. H. Lee, Y. Jeon, L. Kang, K. Onishi, and J. C. Lee,”Ultrathin zirconium silicate film with good thermal stability for alternative gate dielectric application,” Appl. Phys. Lett. 77, p.1704, 2000.
    – L. Kang, B. H. Lee, W. Qi, R. Nieh, Y. Jeon, K. Onishi, S. Gopalan and J. C. Lee, ” Electrical characteristics of highly reliable Hafnium oxide gate dielectric,” IEEE Electron Dev. Lett., 21,p.181, 2000.
    – B. H. Lee, Y. Jeon, K. Zawadzki, W. Qi and J. C. Lee, “Effect of interfacial layer growth on the electrical characteristics of thin titanium oxide films on silicon,” Appl. Phys. Lett. 74, p.3143, 1999.
    – J.M. Leng, J.J. Sidorowich, Y.D. Yoon, J. Opsal, B. H. Lee, G. Cha, J. Moon, and S.I. Lee, “Simultaneous Measurement of Six layers in a Silicon on Insulator Film Stack using Spectrophotometry and Beam Profile Reflectometry,” J. Appl. Phys. 8, p.3570, 1997.
    – G. Cha, B. H. Lee, K. W. Lee, G. J. Bae, W. D. Kim, J. H. Lee, I. K. Kim, K. C. Park, S. I. Lee and Y. B. Koh, “Design Consideration for Patterned Wafer Bonding,” Jpn. J. Appl. Phys., 36, p.1912-1916,

Invited Talk or Presentations
  • – B.H.Lee, S.Y. Kim, K.Y.Kim, H.I.Lee, S.HKang, M.M.Sung, “Recent progress towards ternary logic devices for extreme low power architecture,” Presented at Semiconductor Interface Specialist Conference, 2019. (Invited)
    – B.H.Lee, K.E.Chang, C.H. Kim, T.J.Yoo, M.G.Gwon, “High sensitivity and low cost infrared photodetector using a gated graphene/semiconductor heterojunction,” presented at European MRS, Nice, France, 2019.
    – B.H.Lee, Y.J.Kim, T.J.Yoo, S.Y.Kim, H.Jun.Hwang, S.K.Lee, “Electronic device applications of graphene for future semiconductor technology,” IUMRS-ICAM, Daejon, Korea (2018). Invited
    – B.H.Lee, “Graphene for Alternative Digital Logic Applications,” TMS annual meeting at San Diego, Feb. 2017(invited).
    – B.H.Lee, “Vacuum transfer process to achieve robust 2D material based devices,” 24th Int. Mat. Res. Cong.(IMRC), Cancun, Mexico, 2015. (invited)
    – B.H.Lee, T.J.Yoo, R. Choi, J.Ahn, J.Cho, “Wide bandwidth graphene photodetector with asymmetric contacts”, 24th Int. Mat. Res. Cong.(IMRC), Cancun, Mexico, 2015. (invited)
    – B.H. Lee, Y.G.Lee, C.G.Kang, U.J.Jung, S.C.Lee, Y.J.Kim, “Electrical characterization of Graphene Field Effect Transistor”, UKC, (2013), (invited)
    – B.H. Lee, H.J.Hwang, J.H.Yang, S.C.Kang, W.J.Park, “Electronic Applications of Graphene/PVDF-TrFE stack”, UKC, Aug. 2013. (invited)
    – B.H.Lee, “Applications of graphen in electronic device,” CMOS Emerging Technologies(CMOSET), Vancouver, July, 2012. (Invited)
    – B.H.Lee, H.J.Hwang, J.H.Yang, E.J.Paek, “Device applications of metal/PVDF-trFE/graphene stack,” Int. Symp. on Integ. Ferroelectrics (ISIF), Hongkong, June, 2012. (Invited)
    – B.H.Lee, “Novel electrical characterization methods for graphene devices,” SEMINAR 2012, Hermosillo, Mexicon, Feb., 2012. (Invited)
    – B.H.Lee, H.J.Hwang, E.J. Paek, Y.G.Lee, C.G.Kang, S.K.Lee, C.Cho, “Applications of Metal/PVDF-trFE/Graphene Devices for future electronics,” Workshop on Frontiers in Electronics, San Huang, Dec., 2011. (Best Poster Paper Award)
    – B.H.Lee, Y.G.Lee, C.G. Kang, H.J.Hwang, Y.H. Kim, J.J. Kim, U.J, Jung, “Electrical Characterization Methods for graphene MOSFET,” Workshop on Nano and Giga Challenges, Moscow, Sep., 2011. (Invited)
    – B.H.Lee, “Extreme Low power Technology inspired by Biological Systems,” Semicon Korea, Feb., 2010.
    – B.H. Lee, C.H. Cho, S.K. Lim, S.Y. Lee, H.J. Hwang, Y.G. Lee, U.J. Jung, C.G. Kang, “Feasibility of wrinkle free graphene process,” Abs. of American Vac. Soc. Symposium, Albuquerque, p.61, 2010. (Invited)
    – B.H. Lee, H.J. Hwang, Y.G. Lee, U.J. Jung, “Feasibility of Mechanical Switch Device using a Graphite Electrode,” IMRS, Cancun, 2010. (Invited)
    – B.H. Lee, C.H. Cho, H.Hwang , S.K. Im, S.Y. Lee, H.J. Hwang, “NEMS-CMOS hybrid technology and its applications,” NANO Korea, Aug. 2009.
    – B.H.Lee, R.Choi, “Dielectric Breakdown characteristics of stacked high-k dielectrics,” ECS, San Francisco, Electrochem. Soc. Transaction, 19(2), p.289, 2009. 2009. (Invited)
    – P.Kirsch, P.Sivasubramani, J. Huang, C.D.Young, C.S.Park, K. Freeman, M.M.Hussain, G.Bersuker, H.R.Harris, B.H.Lee, R.Jammy, “Dipole Model Explaining High-k/Metal Gate Threshold Voltage Tuning,” Electrochem. Soc. Transaction, 19(1), p.269, 2009. (Invited)
    – B.H.Lee, “Reliability characterization methods for MOSFETs with metal electrode/high-k dielectric stack, “ ICICDT, Austin, May, 2009. (Invited)
    – B.H.Lee, “Exploratory NEMS-CMOS hybrid devices for post CMOS era,” Int. Semi. Tech. Conf., Shanghai, March, 2009., Electrochem. Soc. Transaction, 18(1), p.857-862, 2009. (Invited)
    – B.H.Lee, C.S. Park, P. Kirsch, J. Huang, P. Sivasubramani, C.Burham, D.Gilmer, C.Y.Kang, P.Lysaght, G.Bersuker, P.Majhi, R.Harris, H.Tseng and R.Jammy, “Gate stack technology for nano-scale CMOS devices,”, IWDTF, Tokyo. Japan, 2008. (Invited)
    – B.H.Lee, C.S. Park, P. Kirsch, J. Huang, P. Sivasubramani, C.Burham, D.Gilmer, C.Y.Kang, P.Lysaght, G.Bersuker, P.Majhi, R.Harris, H.Tseng and R.Jammy, “Gate stack technology for nano-scale CMOS devices,”, IMRS, Chongging, China, 2008. (Invited)
    – B. H. Lee, B.S Ju, S.C. Song, M. Hussain, J. Barnett, nd R. Jammy, “Process challenges for future gate stack technology,” Semi. Tec. Symposium, Seoul, 2007. (Invited)
    – B. H. Lee,, S.C. Song, M.Hussain, J.Barnett, R. Jammy, “Challenges in dual workfunction metal gate CMOS integration,” ECS Fall meeting, ECS Trans. 3, (2), p.263, 2006. (Invited)
    – B. H. Lee,, P.Kirsch, S.Song, R.Choi and R.Jammy, “Gate stack technology for nano-scale devices,” Proceedings of IEEE Nanotech. Mat. And Dev. conf., p.206, 2006. (Invited)
    – B. H. Lee,, C.Y.Kang, T.H.Lee, J.Barnett, R.Choi, S.Song and R .Jammy, “Reliability of thick oxides integrated with HfSiOx gate dielectric”, Ext. Abs. of SSDM, p.1122, 2006.
    – B. H. Lee,, P.Kirsch, S.C. Song, R. Jammy, “Strategy to scale Gate stack technology for sub-30nm MOSFETs,” Workshop on gate stack and contact technology for sub-30nm transistor, Monterey, 2006. (Invited)
    – B. H. Lee,, H.C.Wen, S. Song, R.Choi, P. Kirsch, P. Majhi and R. Jammy, “Advances and Challenges in Gate Stack Technology for nano scale CMOS Devices”, Int. Conf. on Microelectronics, 2006. (Invited)
    – B. H. Lee,, P. Kirsch, P. Majhi, S.C. Song, R. Choi and G. Bersuker , “Prospect of high-k/metal gate stack technology for future CMOS devices,” 5th int. Symp. on Physics and Chemistry of SiO2 and Si-SiO2 interface, ECS Meeting, 2005. (Invited)
    – B. H. Lee,, R. Choi, S.C. Song, J.Sim, C.Young, G. Bersuker, and H.K. Park and H.Hwang, “Physical Origin of Fast Transient Charging in Hafnium Based Gate Dielectrics,” Ext. Abs. of Symp. on SSDM, 2005.
    – B. H. Lee,, R.Choi, C.Young, J.Sim and G.Bersuker,“Transient charging effects and its implication to the reliability of high-k dielectrics,” NATO Workshop on defect in high-k dielectrics, St. Petersburg, 2005. (Invited)
    – B. H. Lee,, S. C. Song, C.Young, P. Kirsch, R.Choi, P.Lysaght, P.Majhi, G.Bersuker and C.Ramiller, “Challenges in the High-k Dielectric Implementation for 45nm Technology Node,” Proc. of ICICDT, p.73, 2005. (Invited)
    – B. H. Lee,, G. Bersuker, N. Moumen, P. Majhi, P. Kirsch, S.C. Song and C. Ramiller, “Electrical Characterization Methodologies for Advanced Gate Stacks with Metal gate and High-k dielectrics,” International Semiconductor Technology Conference, 2005. (Invited)
    – J. H. Sim, S.C. Song, P.D. Kirsch,C. D. Young, R. Choi, G. Bersuker, D. L. Kwong and B. H. Lee, “ALD HfO2 thickness dependence on charge trapping characteristics in mobility enhancement,” Proc. of INFOS, p.218, 2005.
    – B.H.Lee, C.D.Young, R.Choi, J.H.Sim, G.Bersuker, C.Y.Kang, R.Harris, G.A.Brown, K.Matthews, S.C.Song, N.Moumen, J.Barnett, P.Lysaght, K.S.Choi, H.C.Wen, C.Huffman, H.Alshareef, P.Majhi, S.Gopalan, J.Peterson, P.Kirsh, H.-J Li, J.Gutt, M.Gardner, H.R.Huff, P.Zeitzoff, R.W.Murto, L.Larson, and C.Ramiller, “Intrinsic characteristics of high-k devices and implications of transient charging effects,” Tech.Dig. of IEDM, p.859, 2004. (Invited)
    – B.H. Lee, C. Young, R. Choi, J.H. Sim, G. Bersuker and P. Zeitzoff, “Transient charging in high-k gate dielectrics and it’s implications,” Ext. Abs. of SSDM, p.518,2004.
    – B.H.Lee, J.H.Sim, R.Choi, K.Matthew, G.Bersuker, N.Moumen, J.Peterson and L.Larson, “Localized transient charging and it’s implication on the hot carrier reliability of HfSiON MOSFETs,” International Reliability Physics Symposium, p.691, 2004. (Late news paper)
    – B.H. Lee, A. Mocuta, S. Bedell, H. Chen, D. Sadana, K. Rim, P. O’Neil, R. Mo, K. Chan, C. Cabral, C. Lavoie, D. Mocuta, A.Chakravarti, R.M.Mitchell, J. Mezzapelle, F. Jamin, M. Sendelbach, H.Kermel, M.Gribelyuk, A. Domenicucci, S.Narasimha, S.H. Ku, M. Ieong, I.Y.Yang, E. Leobandung, P. Agnello, W. Haensch, and J. Welser, “Performance enhancement on sub-70nm strained silic0on SOI MOSFETs on Ultra-thin Thermally Mixed Strained silicon/SiGe on Insulator(TM-SGOI) substrate with Raised S/D,” Tech Dig. of Int. Electron Device Meetings, p.946 , 2002. (Late news paper)
    – B.H. Lee,“Challenges for gate stack technology for future CMOS technology ”, NCCAVS meeting, August, 2007.
    – B.H. Lee, “The transistor scaling dilemma – A look at status , requirement, and issues”, MRS spring meeting, 2006. (Short course)
    – B.H. Lee, “Myths and truths about the metal/high-k gate stack technology,” EDS SCV Chapter meeting, 2005.

Research Activities
  • – Extreme low power NEMS-CMOS hybrid device technology (중견연구), NRF, MEST (2009.05.01-2012.02.29)
    – Selective low temperature graphene process applicable to CMOS integration and their applications, NRF, MEST (2009.06.01-2012.05.31)
    – World Class University program, NRF (2008.12.01-2013.08.31)
    – Neuromorphic chip and Architecture for pattern recognition, SAMSUNG Advance Inst. of Technology (SAIT) (2010.12.28-2011.12.26)
    – Korea-UAE collaboration and education program , Korea Semiconductor Industry Association (2011.01.01-2013.01.31)
    – Electronic Characterization for CMOS transistor, Texas Instruments (2011.04.01-2012.03.30)
    – Development of Resistive Random Access Memory Compact Model & Characterization, SEMATECH (2012.01.01-2012.12.31)
    – 22nm Foundry device and PDK Technology, MKE (2011.05.01-2016.02.28)
    – Touch industry cluster development program (광역경제권 연계협력사업), MKE (2011.07.01-
    2014.04.30)
    – Neuromorphic semiconductor device and its applications (미래융합파이오니어사업), NRF (2012.09.01 – 2018.02.28)
    – Post CMOS device for 0.7V operation (미래반도체 원천기술 개발 사업), MKE/COSAR (2013.06.01-2018.05.31)
    – Reliability assessment research for logic devices, SAMSUNG system LSI, (2013.06.01-2017.05.31)
    – 고품위 그래핀 진공 전사 장비 및 공정 기술 개발 (기술이전사업), MSS (2014.10.01.-2016.09.30)
    – Hybrid interface – Creative 3D integration Technology for Intelligent System (글로벌프론티어사업), NRF (2014.01.01-2022.08.31)
    – Design and application study of multilevel logic materials and devices (미래소재디스커버리사업), NRF (2015.12.04-2021.12.03)
    – Ternary logic device and architecture using a ternary graphene barristor (나노소재원천기술개발사업), NRF (2016.08.01-2021.07.31)
    – High frequency 영역에서의 Capacitor 특성 분석 방법, SK Hynix (2016.10.01-2017.09.30)
    – 차세대 소자 new memory 신뢰성 연구(in-line monitoring 측정 설비개발 및 저온 trap 분석 기술 개발), SAMSUNG (2018.08.01.-2019.07.31)
    – Graphene -2D Center , SAMSUNG Advance Inst. of Technology (SAIT) (2017.01.01-2019.01.15)
    – 다중 정전용량 소재 및 멤커패시터 응용 기초기술 개발 (미래소재 디스커버리사업), NRF (2019.03.01.-2020.08.31)

IP
  • – 이병훈, 김소영, 김윤지, 심창후 “쇼트키 접합 그래핀 반도체를 이용한 삼진 배리스터” US Patent 10,243,076 (2019-03-26) [사사: 글로벌프론티어사업, 미래소재디스커버리 사업]
    – 이병훈, 장경은, “그래핀-반도체 이종접합 광전소자 및 이의 제조방법,” US Patent , 16/864,077 (2020-04-30)
    – 이병훈, 이용수, 김소영 “스페이서 층이 있는 이종 접합 기반의 음미분저항 소자” 국내출원번호, 2020-0033836 (2020.03.19), 2020.
    – 이병훈, 김기영, 김소영 “다중 문턱 전압 소자를 기반으로 하는 4진법 논리 인버터” 국내출원번호, 2019-0169058 (2019.12.17), 2019.
    – 이병훈, 김소영, 김기영, “그래핀 반도체 접합소자” 국내출원번호, 2019-0157819 (2019.11.29), 2019.
    – 이병훈, 장경은, “어레이형 그래핀-반도체 이종접합 광전소자 및 이의 제조방법” 국내출원번호, 2019-00525555 (2019.05.03), 2019.
    – 이병훈, 장경은, “그래핀-반도체 이종접합 광전소자 및 이의 제조방법,” 국내특허 (출원번호 10-2019-0052549)
    – 이병훈, 김소영, 함문호, 윤명한, 지상수, 이원준, “이차원 물질 층을 포함하는 광검출기의 제조방법”, “, 국내출원번호, 2018-0116078, (2018.09.28), 2018.
    – 노진우, 허선우, 이병훈, 이영곤, “시간-도메인 반사 측정 신호를 이용한 고주파대역 정전용량 추출 방법, 장치 및 이를 구현하는 컴퓨터로 읽을 수 있는 기록 매체,” 국내특허 (사사: SK 하이닉스)
    – Byoung Hun LEE, Yun Ji KIM, So-Young KIM, ” GRAPHENE TRANSISTOR AND TERNARY LOGIC DEVICE USING THE SAME (그래핀 트랜지스터 및 이를 이용한 3진로직 소자) ” 해외출원번호, US 15/424154, 2017. [사사: 미래소재디스커버리사업, 정보전자디바이스산업원천기술개발사업]
    – 이병훈, 김소영, 김윤지, 심창후 “그래핀-반도체 쇼트키접합을 이용한 터너리 스위치, Ternary switch using a graphene-semiconductor Schottky junction” 국내출원번호, 2016-0150019 (2016.11.11), 2016. [사사: 글로벌프론티어사업, 미래소재디스커버리 사업]
    – 이병훈, 조지영, “전압변조다중유전율소재,” 국내출원번호, 2015.
    – 이병훈, 장경은, 유태진, 황현준,”이득조절이 가능한 그래핀-반도체 쇼트키접한 광전소자 Gate tunable graphene/Si Schottky junction photodetector” 해외출원번호, US 15/440922, 2017.
    – 이병훈, 장경은, 유태진, 황현준,”이득조절이 가능한 그래핀-반도체 쇼트키접한 광전소자 Gate tunable graphene/Si Schottky junction photodetector” 국내출원번호, 10-2016-0024910 (2016.03.02), 2016., 등록번호 10-1938934 (2019-01-09) [사사: 글로벌프론티어]
    – 이병훈, 김윤지, 김소영, ” 그래핀 트랜지스터 및 이를 이용한 3진논리 소자, ” 국내출원번호, 10-2016-0015088, 2016. [사사: 창의소재디스커버리사업, 정보전자디바이스산업원천기술개발사업]
    – 이병훈, 정현담, “실시간 밴드갭조절이 가능한 극박막실리콘전계효과소자”, 국내출원번호 2015-0168406 (2015.11.30)
    – 유원종, 이대영, 류정진, 이병훈, “이차원소재의 화학적 도핑을 이용한 수직형 박막 p-n 다이오드,” 국내출원번호 2015-0110924 (2015.08.06). 등록 번호 10-1818657 [사사: 글로벌프론티어사업]
    – Sang Kyung Lee, Sangchul Lee, Byoung Hun Lee, ” Graphene transfer to target substrate using vacuum annealing method ( 진공 열처리를 이용하여 그래핀을 원하는 기판에 전사하는 방법 )”, 해외 출원 번호 PCT/KR2015/000085, 2015. (사사:터치융복합클러스터, 글로벌프론티어)
    – Byoung Hun Lee, Yong Hun Kim, Young Gon Lee, “반도체 장치의 검사방법및 이에 사용되는 프로빙 어셈블리”, US patent application No. 2013-0120988 , 2014. (사사: SAMSUNG System LSI, IB-201305-020-1-US0, 과기원관리번호: IP12110705)
    – Chang Goo Kang, Sang Kyung Lee, Byoung Hun Lee, “그래핀 포토디텍터 및 그 제조 방법 (Graphene photodetector with Al2O3 passivation layer) “, 국내출원 번호 2014-0002154, 2014. (사사:미래융합파이오니어, 글로벌프론티어)
    – Sang Kyung Lee, Sangchul Lee, Byoung Hun Lee, ” Graphene transfer to target substrate using vacuum annealing method ( 진공 열처리를 이용하여 그래핀을 원하는 기판에 전사하는 방법 )”, 국내 출원 번호 10-2014-0001059, 2013. (사사:터치융복합클러스터, 글로벌프론티어)
    – Yong Hun Kim, Young Gon Lee, Byoung Hun Lee, ” 반도체 장치의 검사 방법 및 이에 사용되는 프로빙 어셈블리”, 국내출원번호: 10-2013-0120988 (사사: 삼성전자 System LSI)
    – Byoung Hun Lee, Hyeon Jun Hwang, Yun Ji Kim, ” Graphene multi-valued logic device, operation method thereof, and fabrication method thereof “, 해외 출원 번호 : 14/136493 (해외출원) (사사: 미래융합파이오니어, 글로벌 프론티어)
    – Byoung Hun Lee, Hyeon Jun Hwang, Yun Ji Kim, ” 그래핀 다치 로직 소자, 이의 동작방법 및 이의 제조방법 “, 국내출원번호 2012-0150200, 국내 등록 번호 1423925, Dec. 2012 (국내출원) (사사: 미래융합파이오니어, 글로벌 프론티어)
    – Hyeon Jun Hwang and Byoung Hun Lee, ” 그래핀을 이용한 메모리 소자 및 이의 제조 방법,” 출원번호 PCT/KR2012/005186, June, 2012 (해외출원)
    – Hyeon Jun Hwang and Byoung Hun Lee, ” 그래핀을 이용한 메모리소자 및 이의 제조방법,” 출원번호 2012-0071127, June, 2012 (국내출원)
    – Byoung Hun Lee, Hyeon Jun Hwang, , “강유전체를 이용한 그래핀 PN 접합소자 및 이의 제조방법,” 출원번호 10-2011-0065159.
    – Byoung Hun Lee, Eun Jeong Paek, Hyeon Jun Hwang, Chun Hum Cho, “압전효과를 이용한 그래핀 터치센서,” 출원번호 10-2011-0030182, 2011.
    – Byoung Hun Lee, Hyunsang Hwang, and Chunhum Cho, “그래핀 제조방법,” 출원번호. : 10-2009-0099611, 2011.
    – Byoung Hun Lee , Hyunsang Hwang, “나노스위치,” 출원번호 10-2009-0040570, 2011.
    – K.P.Cheung, D.Heh, R.Choi, B.H. Lee, “Method for measuring capacitance”, U.S. patentNo. 6828630, 2009.06.16
    – Chou, M.Chudzik, T.Furukawa, O.Glueschenkovm P.Kirsch, B.H.Lee, K.Onishi, H.Park, K.Scheer, A.Sekiguchi, “Forming gate oxide having multiple thickness,” U.S. patent No. 7,160,771, 2007.
    – H. Park, B. H. Lee, P. D Agnello, D.J. Schepis, G.G. Shahidi, “CMOS device on ultrathin SOI with a deposited raised source/drain, and a method of manufacture ,” US patent No.6891228 and No. 6828630, 2005.
    – B.H. Lee, B.Dirahoui, E.Leobandung, T.Su, “Triple layer hard mask for gate patterning to fabricate scaled transistor,” US patent No.6800530, 2004
    – B.H. Lee and A.Mocuta, “Method of forming asymmetric extension MOSFET using a drain side spacer ,” US.Patent No.6746924, 2004.
    – B.H. Lee, E.Leobandung, G.Shahidi, “ Integration of dual workfunction metal gate CMOS devices,” US patent No. 6653698, 2003.
    – G.Cha, B.H. Lee, “Apparatus and methods for wafer debonding using a liquid jet,” US patent No.5783022, 1998.
    – B.H. Lee, “Wafer polishing device,” US patent No.5735731, 1998.
    – B.H. Lee, “Semiconductor device having X-ray lithographic mask and method for manufacturing the same,” US patent No. 5700603, 1997.
    – B.H. Lee, C.J.Kang, K.W.Lee, G.Cha, “SOI substrate manufacturing method,” US patent No.5665631, 1997.
    – B.H. Lee, J.H.Lee,”Chemical mechanical polishing(CMP) apparatus and CMP method using the same,” US patent No.805697, 1997.